qishi123123123 2013-06-21 01:40
浏览 2289

VHDL 16选1数据选择器

不知道哪里错了,求解释
library ieee;
use ieee.std_logic_1164.all;
entity selc is
port(DATA0,DATA1,DATA2,DATA3,DATA4,DATA5:in std_logic ;
DATA6,DATA7,DATA8,DATA9,DATA10,DATA11:in std_logic ;
DATA12,DATA13,DATA14,DATA15:in std_logic ;
sel: in std_logic(3 downto 0);
q:out std_logic);
end;
architecture bh of selc is
begin
case sel is
when"0000"=>q<=DATA0;
when"0001"=>q<=DATA1;
when"0010"=>q<=DATA2;
when"0011"=>q<=DATA3;
when"0100"=>q<=DATA4;
when"0101"=>q<=DATA5;
when"0110"=>q<=DATA6;
when"0111"=>q<=DATA7;
when"1000"=>q<=DATA8;
when"1001"=>q<=DATA9;
when"1010"=>q<=DATA10;
when"1011"=>q<=DATA11;
when"1100"=>q<=DATA12;
when"1101"=>q<=DATA13;
when"1110"=>q<=DATA14;
when others=>null;
end case;
end bh;

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥15 素材场景中光线烘焙后灯光失效
    • ¥15 请教一下各位,为什么我这个没有实现模拟点击
    • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来
    • ¥50 comfyui下连接animatediff节点生成视频质量非常差的原因
    • ¥20 有关区间dp的问题求解
    • ¥15 多电路系统共用电源的串扰问题
    • ¥15 slam rangenet++配置
    • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
    • ¥15 ubuntu子系统密码忘记
    • ¥15 保护模式-系统加载-段寄存器