guoqi1324 2014-12-14 13:50 采纳率: 0%
浏览 2006

用verilong语言编写一个走马灯的代码

多模式LED发光控制器(Basys3)
1)采用16个并排LED实现跑马灯发光器件;
2)具有异步复位功能(按钮),复位时,LED全亮;
3)模式选择(利用两位滑动开关):00—左循环跑马灯,01—右循环跑马灯,10—交叉闪烁跑马灯,11—全亮全灭闪烁
4)速度选择(利用两位滑动开关):通过00—11实现四个速度等级的闪烁效果

  • 写回答

1条回答

报告相同问题?

悬赏问题

  • ¥15 多电路系统共用电源的串扰问题
  • ¥15 slam rangenet++配置
  • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
  • ¥15 对于相关问题的求解与代码
  • ¥15 ubuntu子系统密码忘记
  • ¥15 信号傅里叶变换在matlab上遇到的小问题请求帮助
  • ¥15 保护模式-系统加载-段寄存器
  • ¥15 电脑桌面设定一个区域禁止鼠标操作
  • ¥15 求NPF226060磁芯的详细资料
  • ¥15 使用R语言marginaleffects包进行边际效应图绘制