yang941025 2015-04-13 05:37 采纳率: 0%
浏览 2394

请问用Verilog HDL怎么读写4片RAM,并进行仿真?

quartusii中同时调用4片IP核RAM后怎么对它行读写,要求依次对4片深度为12的RAM写入后,再依次读取。读取时,可以从任意地址读取。拜托大神们,帮帮忙。

  • 写回答

2条回答 默认 最新

  • devmiao 2015-04-13 05:39
    关注
    评论

报告相同问题?

悬赏问题

  • ¥15 用C语言输入方程怎么
  • ¥15 网站显示不安全连接问题
  • ¥15 github训练的模型参数无法下载
  • ¥15 51单片机显示器问题
  • ¥20 关于#qt#的问题:Qt代码的移植问题
  • ¥50 求图像处理的matlab方案
  • ¥50 winform中使用edge的Kiosk模式
  • ¥15 关于#python#的问题:功能监听网页
  • ¥15 怎么让wx群机器人发送音乐
  • ¥15 fesafe材料库问题