u010785238 2015-05-14 15:16 采纳率: 0%
浏览 8419

vhdl语言SIGNAL i : integer range 0 to 31;

如果有这样一个定义后面一直i++,加到31之后再加会怎么样,,一直i++会自动有从零开始循环吗

  • 写回答

1条回答 默认 最新

  • 我加班特长 2015-11-18 02:22
    关注

    这个range本身没有硬件意义,它只能让分析综合的时候不报错,形成完整的逻辑描述。至于会不会到0重新加上去,具体得看你代码是怎么写得了,range只是一个信号声明

    评论

报告相同问题?

悬赏问题

  • ¥200 相机拍直接转存到电脑上 立拍立穿无线局域网传
  • ¥15 (关键词-电路设计)
  • ¥15 如何解决MIPS计算是否溢出
  • ¥15 vue中我代理了iframe,iframe却走的是路由,没有显示该显示的网站,这个该如何处理
  • ¥15 操作系统相关算法中while();的含义
  • ¥15 CNVcaller安装后无法找到文件
  • ¥15 visual studio2022中文乱码无法解决
  • ¥15 关于华为5g模块mh5000-31接线问题
  • ¥15 keil L6007U报错
  • ¥15 webapi 发布到iis后无法访问