unbeliverpool 2015-06-03 04:26 采纳率: 100%
浏览 2102
已结题

verilog实现冒泡排序,大致的代码框架说明~~

使用verilog实现冒泡排序:输入使用ram1,排序结果输出到ram2.
接口说明
输入:
时钟 (wire[0:0])
待排序内存数据 (wire[11:0])
排序启动标志 (wire[0:0])
输出:
待排序内存地址 (reg[10:0])
排序内存写使能 (reg[0:0])
排序内存地址 (reg[10:0])
排序内存数据 (reg[11:0])
排序完成标志 (reg[0:0])

大概原理: 从ram1中读取数据,找到最小值与最小值个数,然后存储到ram2中,存储依次最小值
为一趟排序,知道ram2被排满。希望得到大体的代码框架流程~~
谢谢~~~

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥15 用C语言输入方程怎么
    • ¥15 网站显示不安全连接问题
    • ¥15 github训练的模型参数无法下载
    • ¥15 51单片机显示器问题
    • ¥20 关于#qt#的问题:Qt代码的移植问题
    • ¥50 求图像处理的matlab方案
    • ¥50 winform中使用edge的Kiosk模式
    • ¥15 关于#python#的问题:功能监听网页
    • ¥15 怎么让wx群机器人发送音乐
    • ¥15 fesafe材料库问题