lingfengyu7788 2015-11-16 07:45
浏览 932

用VHDL或Verilog语言设计RS232接口数据转发协议

将8位并行数据转发为RS232协议的串口数据发送出去
协议要求:
(1) 波特率:4800/ 9600/19200/38400可选
(2) 8位数据位,1位停止位,偶校验可选
给定实体
entity rs232
port ( clk: in std_logic; -- 16MHz输入时钟
rdy: in std_logic; --数据准备好信号, 1个时钟周期的正脉冲
data: in std_logic_vector(7 downto 0); --要发送的并行数据
bps: in std_logic_vector(1 downto 0); --波特率设置
-- 00:4800bps 01:9600 10:19200 11:38400
parity : in std_logic; --奇偶校验控制,0:奇校验 1:偶校验
d_out: out std_logic); --串行数据输出
end rs232;

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥15 C# P/Invoke的效率问题
    • ¥20 thinkphp适配人大金仓问题
    • ¥20 Oracle替换.dbf文件后无法连接,如何解决?(相关搜索:数据库|死循环)
    • ¥15 数据库数据成问号了,前台查询正常,数据库查询是?号
    • ¥15 算法使用了tf-idf,用手肘图确定k值确定不了,第四轮廓系数又太小才有0.006088746097507285,如何解决?(相关搜索:数据处理)
    • ¥15 彩灯控制电路,会的加我QQ1482956179
    • ¥200 相机拍直接转存到电脑上 立拍立穿无线局域网传
    • ¥15 (关键词-电路设计)
    • ¥15 如何解决MIPS计算是否溢出
    • ¥15 vue中我代理了iframe,iframe却走的是路由,没有显示该显示的网站,这个该如何处理