qq_34793889 2016-04-26 02:40 采纳率: 100%
浏览 1468

有赏金,求程序。基于verilog乒乓切换。用状态机

在一些数据采集系统中,为了实现数据的实时性和连续性,需要将连续采集到的数据先暂存起来再进行处理,就需要2片相同容量的存储器,当存储器A处于写入状态时,存储器B处于读出状态。当存储器A写满后发出一个写满信号FULLA,此时存储器B也读空,发出一个读空信号EMPTYB。这时将两个存储器切换工作,存储器A处于读出状态,而存储器B处于写入状态,当存储器A读空而存储器B写满时,存储器A发出读空信号EMPTYA,存储器B发出写满信号FULLB,然后存储器A和B再次切换工作状态。假设存储器的容量为8K字节,8位数据总线。

  • 写回答

0条回答

    报告相同问题?

    悬赏问题

    • ¥15 求差集那个函数有问题,有无佬可以解决
    • ¥15 【提问】基于Invest的水源涵养
    • ¥20 微信网友居然可以通过vx号找到我绑的手机号
    • ¥15 寻一个支付宝扫码远程授权登录的软件助手app
    • ¥15 解riccati方程组
    • ¥15 display:none;样式在嵌套结构中的已设置了display样式的元素上不起作用?
    • ¥15 使用rabbitMQ 消息队列作为url源进行多线程爬取时,总有几个url没有处理的问题。
    • ¥15 Ubuntu在安装序列比对软件STAR时出现报错如何解决
    • ¥50 树莓派安卓APK系统签名
    • ¥65 汇编语言除法溢出问题