panmajie5827 2016-05-13 11:39 采纳率: 0%
浏览 2348

verilog顶层文件出现了问题

module Count (rst_n,clk,outh);

input rst_n,clk;

output [3:0] outh;

reg [2:0] out2;
reg [3:0] pout;

always@(posedge clk or negedge rst_n)
begin
if (!rst_n)
begin
out2 <= 0;
end
else
begin
out2 <= out2+1;
end
end
always@(posedge clk)
begin
case(out2)
4'b000:pout<=4'd0;
4'b001:pout<=4'd1;
4'b010:pout<=4'd2;
4'b011:pout<=4'd3;
4'b100:pout<=4'd4;
4'b101:pout<=4'd5;
4'b110:pout<=4'd6;
4'b111:pout<=4'd7;
default:pout<=4'd0;
endcase
end
assign outh=pout;
endmodule
这个是计数器模块单独仿真没问题图片说明
我把它放到顶层里 图片说明 输入输出没边 仿真就出现问题了图片说明
求解释(DetecDdge模块单独仿真和放到顶层仿真都没问题)

  • 写回答

1条回答 默认 最新

报告相同问题?

悬赏问题

  • ¥100 Jenkins自动化部署—悬赏100元
  • ¥15 关于#python#的问题:求帮写python代码
  • ¥20 MATLAB画图图形出现上下震荡的线条
  • ¥15 关于#windows#的问题:怎么用WIN 11系统的电脑 克隆WIN NT3.51-4.0系统的硬盘
  • ¥15 perl MISA分析p3_in脚本出错
  • ¥15 k8s部署jupyterlab,jupyterlab保存不了文件
  • ¥15 ubuntu虚拟机打包apk错误
  • ¥199 rust编程架构设计的方案 有偿
  • ¥15 回答4f系统的像差计算
  • ¥15 java如何提取出pdf里的文字?