叫什么好呢80 2013-12-02 04:40 采纳率: 0%
浏览 2870

modelsim 仿真 SDRAM 程序,程序采用的是特权同学的

仿真时,采用SDRAM仿真模型,发现写入SDRAM的数据和读出的数据都不对,是加入仿真模型本身就无法仿真数据读写?还是有什么其他的方法可以仿真出实际的读写?

在实际下载的情况下,大部分的数据还是正确的,第一个和最后1个数是错误的。

  • 写回答

2条回答 默认 最新

  • sephirothjy 2013-12-02 07:23
    关注

    是时序仿真还是功能仿真? 如果是时序仿真是否有考虑过时序约束? 建议重新看一下时钟设置

    评论

报告相同问题?

悬赏问题

  • ¥15 求差集那个函数有问题,有无佬可以解决
  • ¥15 【提问】基于Invest的水源涵养
  • ¥20 微信网友居然可以通过vx号找到我绑的手机号
  • ¥15 寻一个支付宝扫码远程授权登录的软件助手app
  • ¥15 解riccati方程组
  • ¥15 display:none;样式在嵌套结构中的已设置了display样式的元素上不起作用?
  • ¥15 使用rabbitMQ 消息队列作为url源进行多线程爬取时,总有几个url没有处理的问题。
  • ¥15 Ubuntu在安装序列比对软件STAR时出现报错如何解决
  • ¥50 树莓派安卓APK系统签名
  • ¥65 汇编语言除法溢出问题