X.Wen 2020-12-05 15:05
浏览 4

请大神帮忙看看vhdl循环寄存功能这样怎么无法实现?

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY xyw10218104 IS
  PORT(clk_1:IN STD_LOGIC;
  d0,d1,d2,d3,d4,d5,d6,d7:buffer STD_LOGIC_VECTOR(3 DOWNTO 0));
END xyw10218104;
ARCHITECTURE one OF xyw10218104 IS
begin
  process(clk_1)
  begin
    d7<="1000";
	d6<="0111";
	d5<="0110";
	d4<="0101";
	d3<="0100";
	d2<="0011";
	d1<="0010";
	d0<="0001";
  if(clk_1'event and clk_1='1') then
    d7<=d0;
	d6<=d1;
	d5<=d2;
	d4<=d3;
	d3<=d4;
	d2<=d5;
	d1<=d6;
	d0<=d7;
  END  IF;
  END PROCESS;
END one;

出现这样的错误不明白是什么原因 应该怎么修改才能正确赋初值呢?

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥15 YoloV5 第三方库的版本对照问题
    • ¥15 请完成下列相关问题!
    • ¥15 drone 推送镜像时候 purge: true 推送完毕后没有删除对应的镜像,手动拷贝到服务器执行结果正确在样才能让指令自动执行成功删除对应镜像,如何解决?
    • ¥15 求daily translation(DT)偏差订正方法的代码
    • ¥15 js调用html页面需要隐藏某个按钮
    • ¥15 ads仿真结果在圆图上是怎么读数的
    • ¥20 Cotex M3的调试和程序执行方式是什么样的?
    • ¥20 java项目连接sqlserver时报ssl相关错误
    • ¥15 一道python难题3
    • ¥15 牛顿斯科特系数表表示