oraclew 2019-04-16 17:20 采纳率: 0%
浏览 878

quartusII 12.1 调用modelsim 10.1b仿真无信号输出

VHDL程序为
library IEEE;
use IEEE.Std_logic_1164.all;
entity test_HCT688 is

port(Q, P : in std_logic_vector(7 downto 0);
GBAR : in std_logic; PEQ : out std_logic);
end test_HCT688;

architecture VER1 of test_HCT688 is
begin
PEQ <= '0' when ((To_X01(P) = To_X01(Q)) and (GBAR = '0')) else '1';
end VER1;
使用modelsim仿真无输出波形,testbench文件为:

图片说明图片说明

  • 写回答

1条回答 默认 最新

  • oraclew 2019-04-17 09:31
    关注

    后来用代码写顶层文件,然后用testbench仿真,就ok。但是画原理图,用testbench仿真,就出错: ** Warning: (vsim-3473) Component instance "i1 : TEST1" is not bound. 最后用原理图生成.vhd文件,然后再进行仿真。顶层代码为:
    LIBRARY ieee;
    USE ieee.std_logic_1164.all;

    LIBRARY work;

    ENTITY TEST1 IS
    PORT
    (
    GBAR : IN STD_LOGIC;
    P : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
    Q : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
    PEQ : OUT STD_LOGIC
    );
    END TEST1;

    ARCHITECTURE bdf_type OF TEST1 IS

    COMPONENT test_hct688
    PORT(GBAR : IN STD_LOGIC;
    P : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
    Q : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
    PEQ : OUT STD_LOGIC
    );
    END COMPONENT;

    BEGIN

    b2v_inst : test_hct688
    PORT MAP(GBAR => GBAR,
    P => P,
    Q => Q,
    PEQ => PEQ);

    END bdf_type;

    仿真结果为:
    图片说明

    最后,有没有大神知道个中缘由?

    评论

报告相同问题?

悬赏问题

  • ¥15 三菱伺服电机按启动按钮有使能但不动作
  • ¥20 为什么我写出来的绘图程序是这样的,有没有lao哥改一下
  • ¥15 js,页面2返回页面1时定位进入的设备
  • ¥200 关于#c++#的问题,请各位专家解答!网站的邀请码
  • ¥50 导入文件到网吧的电脑并且在重启之后不会被恢复
  • ¥15 (希望可以解决问题)ma和mb文件无法正常打开,打开后是空白,但是有正常内存占用,但可以在打开Maya应用程序后打开场景ma和mb格式。
  • ¥20 ML307A在使用AT命令连接EMQX平台的MQTT时被拒绝
  • ¥20 腾讯企业邮箱邮件可以恢复么
  • ¥15 有人知道怎么将自己的迁移策略布到edgecloudsim上使用吗?
  • ¥15 错误 LNK2001 无法解析的外部符号