Capt阿柴 2019-05-12 13:18
浏览 282
已结题

用Vorilog HDL语言编写百位可加减计数器,使用可清零十进制加减计数模块,在清零时出现问题

用Vorilog HDL语言编写百位可加减计数器,使用可清零十进制加减计数模块,在清零时出现只有十位和个位清零或者只有个位清零的情况,希望大佬可以帮忙教一下。
代码如下:
module cnt_9(d,t,clk,pd,rst);

output reg [3:0] d = 0;
output reg t;
input clk;
input pd;
inout rst;
always @(posedge clk or negedge rst)
if(!rst)
d<=0;
else
begin
    if(pd==1 )
    begin
         if(d==0)
         begin
            d<=9;
            d <= d - 1'b1;
         end
         else
            d <= d - 1'b1;

        if(4'b0000 == d)
        begin 
            d <= 9;
            t<= 1;
        end 
        else t <= 0;
    end
    else
    begin
        d <= d + 1'b1;
        if(4'b1010 == d)
        begin 
            d <= 0;
            t<= 1;
        end 
        else t <= 0;
    end
end

endmodule

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥15 执行 virtuoso 命令后,界面没有,cadence 启动不起来
    • ¥50 comfyui下连接animatediff节点生成视频质量非常差的原因
    • ¥20 有关区间dp的问题求解
    • ¥15 多电路系统共用电源的串扰问题
    • ¥15 slam rangenet++配置
    • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
    • ¥15 ubuntu子系统密码忘记
    • ¥15 保护模式-系统加载-段寄存器
    • ¥15 电脑桌面设定一个区域禁止鼠标操作
    • ¥15 求NPF226060磁芯的详细资料