白沐沐vccc 2022-09-23 19:57 采纳率: 96.2%
浏览 10
已结题

vivado单位原码乘法

在进行vivado单位乘法实验时,四位二进制数互乘,需要进行四次乘法,由于对这种新语言不够了解,想让他进行四次乘法后自动结束,请问怎么弄,谢谢

img

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-09-28 16:27
    关注
    
    `timescale 1ns/1ns
    
    module testbench;
    
    reg            rst;
    reg            clk;
    reg    [3:0]    a;
    reg    [3:0]    b;
    wire[7:0]    c;
    
    parameter RESET_PERIOD        = 500;
    parameter CLK_PERIOD        = 100;                    //10MHz
    
    initial    clk = 0;
    always    clk = #(CLK_PERIOD/2.0) ~clk;
    
    initial    begin
        rst = 1;
        #RESET_PERIOD
        rst = 0;
        #(CLK_PERIOD*4)    $stop;    // 执行 4 个时钟之后停止
    end
    //////////////////////////////////////////////////////////////////////
    // 这部分代码是自动产生24位数 a,b 每个时钟变化一次
    //    always@(posedge clk)
    //    begin
    //        if(rst)
    //        begin
    //            a    <= #1 0;
    //            b    <= #1 0;
    //        end
    //        else
    //        begin
    //            {b,a}    <= #1 {b,a} + 1;
    //        end
    //    end
    //////////////////////////////////////////////////////////////////////
    // 要是不用上自动产生24位数,可以按下面的代码
    initial    begin
        a = 0;b = 0;
        #RESET_PERIOD
        a = 1;b = 2;
        #CLK_PERIOD
        a = 3;b = 4;
        #CLK_PERIOD
        a = 5;b = 6;
    end
    //////////////////////////////////////////////////////////////////////    
        
        mult    ux
        (
            .clk    (clk),
            .rst    (rst),
            .a        (a),
            .b        (b),
            .c        (c)
        );
    
    endmodule
    
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 10月8日
  • 已采纳回答 9月30日
  • 创建了问题 9月23日

悬赏问题

  • ¥15 微信小程序协议怎么写
  • ¥15 c语言怎么用printf(“\b \b”)与getch()实现黑框里写入与删除?
  • ¥20 怎么用dlib库的算法识别小麦病虫害
  • ¥15 华为ensp模拟器中S5700交换机在配置过程中老是反复重启
  • ¥15 java写代码遇到问题,求帮助
  • ¥15 uniapp uview http 如何实现统一的请求异常信息提示?
  • ¥15 有了解d3和topogram.js库的吗?有偿请教
  • ¥100 任意维数的K均值聚类
  • ¥15 stamps做sbas-insar,时序沉降图怎么画
  • ¥15 买了个传感器,根据商家发的代码和步骤使用但是代码报错了不会改,有没有人可以看看