RACH_preamble 2019-11-20 11:25 采纳率: 83.3%
浏览 357

VHDL在testbench里的二进制变量循环+1

1.VHDL 在testbench里一个三位的二进制数a <= "000",如何实现每隔一个50ns,a+1?也就是让a在 000 ,001,010,011,...,110,111,000这样循环变化。

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥15 delta降尺度计算的一些细节,有偿
    • ¥15 Arduino红外遥控代码有问题
    • ¥15 数值计算离散正交多项式
    • ¥30 数值计算均差系数编程
    • ¥15 redis-full-check比较 两个集群的数据出错
    • ¥15 Matlab编程问题
    • ¥15 训练的多模态特征融合模型准确度很低怎么办
    • ¥15 kylin启动报错log4j类冲突
    • ¥15 超声波模块测距控制点灯,灯的闪烁很不稳定,经过调试发现测的距离偏大
    • ¥15 import arcpy出现importing _arcgisscripting 找不到相关程序