qishi123123123 2013-06-21 01:40
浏览 2289

VHDL 16选1数据选择器

不知道哪里错了,求解释
library ieee;
use ieee.std_logic_1164.all;
entity selc is
port(DATA0,DATA1,DATA2,DATA3,DATA4,DATA5:in std_logic ;
DATA6,DATA7,DATA8,DATA9,DATA10,DATA11:in std_logic ;
DATA12,DATA13,DATA14,DATA15:in std_logic ;
sel: in std_logic(3 downto 0);
q:out std_logic);
end;
architecture bh of selc is
begin
case sel is
when"0000"=>q<=DATA0;
when"0001"=>q<=DATA1;
when"0010"=>q<=DATA2;
when"0011"=>q<=DATA3;
when"0100"=>q<=DATA4;
when"0101"=>q<=DATA5;
when"0110"=>q<=DATA6;
when"0111"=>q<=DATA7;
when"1000"=>q<=DATA8;
when"1001"=>q<=DATA9;
when"1010"=>q<=DATA10;
when"1011"=>q<=DATA11;
when"1100"=>q<=DATA12;
when"1101"=>q<=DATA13;
when"1110"=>q<=DATA14;
when others=>null;
end case;
end bh;

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥30 这是哪个作者做的宝宝起名网站
    • ¥60 版本过低apk如何修改可以兼容新的安卓系统
    • ¥25 由IPR导致的DRIVER_POWER_STATE_FAILURE蓝屏
    • ¥50 有数据,怎么建立模型求影响全要素生产率的因素
    • ¥50 有数据,怎么用matlab求全要素生产率
    • ¥15 TI的insta-spin例程
    • ¥15 完成下列问题完成下列问题
    • ¥15 C#算法问题, 不知道怎么处理这个数据的转换
    • ¥15 YoloV5 第三方库的版本对照问题
    • ¥15 请完成下列相关问题!