weixin_43729900 2020-07-02 09:12 采纳率: 100%
浏览 476
已采纳

quartus使用时RTL viewer只有输入和输出,没有中间的器件是怎么回事?

用quartus编8-3编码器,编程编译通过了,然后去看RTL viewer只有输入和输出,没有中间的逻辑器件
不知道问题出在哪了,求解答

module encoder_83(in,y);
input [7:0] in;
output [2:0] y;
reg [2:0] y;
always@(in)
begin
    case(in)
        8'bxxxxxxx0 : y = 3'b111;
        8'bxxxxxx01 : y = 3'b110;
        8'bxxxxx011 : y = 3'b101;
        8'bxxxx0111 : y = 3'b100;
        8'bxxx01111 : y = 3'b011;
        8'bxx011111 : y = 3'b010;
        8'bx0111111 : y = 3'b001;
        8'bx1111111 : y = 3'b000;
    default : y <= 3'b111;
    endcase
end
endmodule

图片说明

图片说明

  • 写回答

2条回答 默认 最新

  • dabocaiqq 2020-07-02 18:17
    关注
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(1条)

报告相同问题?

悬赏问题

  • ¥15 运筹学排序问题中的在线排序
  • ¥15 关于docker部署flink集成hadoop的yarn,请教个问题 flink启动yarn-session.sh连不上hadoop,这个整了好几天一直不行,求帮忙看一下怎么解决
  • ¥30 求一段fortran代码用IVF编译运行的结果
  • ¥15 深度学习根据CNN网络模型,搭建BP模型并训练MNIST数据集
  • ¥15 C++ 头文件/宏冲突问题解决
  • ¥15 用comsol模拟大气湍流通过底部加热(温度不同)的腔体
  • ¥50 安卓adb backup备份子用户应用数据失败
  • ¥20 有人能用聚类分析帮我分析一下文本内容嘛
  • ¥30 python代码,帮调试,帮帮忙吧
  • ¥15 #MATLAB仿真#车辆换道路径规划