cxdmf 2014-09-10 10:39
浏览 2168

CMOS图像传感器信号采集Verilog代码

已经搞清楚了GC0308 CMOS图像传感器的工作原理,以及输出信号类型(RGB),现在要将其输出信号PCLK、VSYNC、HSYNC和8位输出数字信号D[7:0]通过FPGA采集并存储,存储类型不限,由于第一次做这个方向,需要一些相关代码做参考,非常感谢。请经历过的前辈给予指导,谢谢。

  • 写回答

0条回答

    报告相同问题?

    悬赏问题

    • ¥30 这是哪个作者做的宝宝起名网站
    • ¥60 版本过低apk如何修改可以兼容新的安卓系统
    • ¥25 由IPR导致的DRIVER_POWER_STATE_FAILURE蓝屏
    • ¥50 有数据,怎么建立模型求影响全要素生产率的因素
    • ¥50 有数据,怎么用matlab求全要素生产率
    • ¥15 TI的insta-spin例程
    • ¥15 完成下列问题完成下列问题
    • ¥15 C#算法问题, 不知道怎么处理这个数据的转换
    • ¥15 YoloV5 第三方库的版本对照问题
    • ¥15 请完成下列相关问题!