guoqi1324 2014-12-14 13:50 采纳率: 0%
浏览 2006

用verilong语言编写一个走马灯的代码

多模式LED发光控制器(Basys3)
1)采用16个并排LED实现跑马灯发光器件;
2)具有异步复位功能(按钮),复位时,LED全亮;
3)模式选择(利用两位滑动开关):00—左循环跑马灯,01—右循环跑马灯,10—交叉闪烁跑马灯,11—全亮全灭闪烁
4)速度选择(利用两位滑动开关):通过00—11实现四个速度等级的闪烁效果

  • 写回答

1条回答 默认 最新

报告相同问题?

悬赏问题

  • ¥20 sub地址DHCP问题
  • ¥15 delta降尺度计算的一些细节,有偿
  • ¥15 Arduino红外遥控代码有问题
  • ¥15 数值计算离散正交多项式
  • ¥30 数值计算均差系数编程
  • ¥15 redis-full-check比较 两个集群的数据出错
  • ¥15 Matlab编程问题
  • ¥15 训练的多模态特征融合模型准确度很低怎么办
  • ¥15 kylin启动报错log4j类冲突
  • ¥15 超声波模块测距控制点灯,灯的闪烁很不稳定,经过调试发现测的距离偏大