unbeliverpool 2015-06-03 04:26 采纳率: 100%
浏览 2102
已结题

verilog实现冒泡排序,大致的代码框架说明~~

使用verilog实现冒泡排序:输入使用ram1,排序结果输出到ram2.
接口说明
输入:
时钟 (wire[0:0])
待排序内存数据 (wire[11:0])
排序启动标志 (wire[0:0])
输出:
待排序内存地址 (reg[10:0])
排序内存写使能 (reg[0:0])
排序内存地址 (reg[10:0])
排序内存数据 (reg[11:0])
排序完成标志 (reg[0:0])

大概原理: 从ram1中读取数据,找到最小值与最小值个数,然后存储到ram2中,存储依次最小值
为一趟排序,知道ram2被排满。希望得到大体的代码框架流程~~
谢谢~~~

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥100 Jenkins自动化部署—悬赏100元
    • ¥15 关于#python#的问题:求帮写python代码
    • ¥20 MATLAB画图图形出现上下震荡的线条
    • ¥15 关于#windows#的问题:怎么用WIN 11系统的电脑 克隆WIN NT3.51-4.0系统的硬盘
    • ¥15 perl MISA分析p3_in脚本出错
    • ¥15 k8s部署jupyterlab,jupyterlab保存不了文件
    • ¥15 ubuntu虚拟机打包apk错误
    • ¥199 rust编程架构设计的方案 有偿
    • ¥15 回答4f系统的像差计算
    • ¥15 java如何提取出pdf里的文字?