Forza_xdf 2015-10-09 13:14 采纳率: 0%
浏览 2793

complex multipler IP

complex multipler IP在Vivado开发环境下怎么配置计算复数乘法?

  • 写回答

1条回答 默认 最新

  • beny270 2017-09-05 06:31
    关注

    http://blog.csdn.net/beny270/article/details/77198283这个博文里面详细描述了矩阵乘法这个IP核,只要在HLS改一下
    struct MULTIPLIER_CONFIG_0: hls::matrix_multiply_traits transitionMatrix_ROW, transitionMatrix_COL,MATRIX_T, MATRIX_T>{
    static const int ARCH = 3;//use the add tree
    };这个接口按照源码里注释的内容修改成复数乘法即可

    评论

报告相同问题?

悬赏问题

  • ¥15 高德地图点聚合中Marker的位置无法实时更新
  • ¥15 DIFY API Endpoint 问题。
  • ¥20 sub地址DHCP问题
  • ¥15 delta降尺度计算的一些细节,有偿
  • ¥15 Arduino红外遥控代码有问题
  • ¥15 数值计算离散正交多项式
  • ¥30 数值计算均差系数编程
  • ¥15 redis-full-check比较 两个集群的数据出错
  • ¥15 Matlab编程问题
  • ¥15 训练的多模态特征融合模型准确度很低怎么办