xiaozi03 2015-12-15 14:16 采纳率: 0%
浏览 2868
已结题

可控脉冲发生器(用vhdl语言编写)

内容及要求:
实现周期、占空比均可调的脉冲发生器。
(1)采用1Mhz的工作时钟;
(2)脉冲周期0.5s~6s,占空比10%~90%;
(3)可初始化:周期2.5s,占空比50%;

  • 写回答

1条回答

  • devmiao 2015-12-15 15:10
    关注
    评论

报告相同问题?

悬赏问题

  • ¥25 关于##爬虫##的问题,如何解决?:
  • ¥15 ZABBIX6.0L连接数据库报错,如何解决?(操作系统-centos)
  • ¥15 找一位技术过硬的游戏pj程序员
  • ¥15 matlab生成电测深三层曲线模型代码
  • ¥50 随机森林与房贷信用风险模型
  • ¥50 buildozer打包kivy app失败
  • ¥30 在vs2022里运行python代码
  • ¥15 不同尺寸货物如何寻找合适的包装箱型谱
  • ¥15 求解 yolo算法问题
  • ¥15 虚拟机打包apk出现错误