sylowpppppp 2016-02-20 14:36 采纳率: 0%
浏览 1409

devc++编译后再stl_iterator_base_types中报错

请问这是什么原因呢
我的代码片段如下
int analy(vector< vector > info)
{
int chalg=-1;
int flag=0;
vector seq;
vector chalgseq;

for(int i=0;i<=lengthf-1;i++)
{
    sort(*info[i].begin(), *info[i].end() );
}
seq.push_back(*info[0].begin());
for(int i=1;i<=lengthf-1;i++)
{   int flag=0;
    chalgseq.clear();
    //判断正序数列能否延长 
    for(vector<int>::iterator iter=info[i].begin();iter!=info[i].end();iter++)
    {
        if(*iter> *seq.end()-1)
        {
            seq.push_back(*iter);
            flag=1;
        }
        break;
    }

    if(!flag)
    {
        chalgseq.push_back(*info[i].end()-1);
        for(int k=i;k>=0;k--)
        {
              vector<int>::iterator iter2=info[k].end();
             while( iter2  != info[k].begin())
             {
                 if(*(--iter2)<*chalgseq.end()-1)
                 {
                    chalgseq.push_back( *(--iter2) );
                    break; // break 只会跳出 for while case 多少个if 都没有关系
                 }      
             }
        }
    }   

    if(chalgseq.size()>seq.size())
    {
        reverse(chalgseq.begin(),chalgseq.end());
        seq=chalgseq;
    }
}

return seq.size();
}
  • 写回答

4条回答

  • 草辟 2019-01-26 14:54
    关注

    同问,我也是相同的情况。楼主的问题解决了吗?请问到底是什么原因造成的?百度查了好久都没有找到解决方案,望能指点一二。

    评论

报告相同问题?

悬赏问题

  • ¥20 蓝牙耳机怎么查看日志
  • ¥15 Fluent齿轮搅油
  • ¥15 八爪鱼爬数据为什么自己停了
  • ¥15 交替优化波束形成和ris反射角使保密速率最大化
  • ¥15 树莓派与pix飞控通信
  • ¥15 自动转发微信群信息到另外一个微信群
  • ¥15 outlook无法配置成功
  • ¥30 这是哪个作者做的宝宝起名网站
  • ¥60 版本过低apk如何修改可以兼容新的安卓系统
  • ¥25 由IPR导致的DRIVER_POWER_STATE_FAILURE蓝屏