qq_34793889 2016-04-26 02:30 采纳率: 100%
浏览 1052

基于verilog 乒乓切换控制电路

在一些数据采集系统中,为了实现数据的实时性和连续性,需要将连续采集到的数据先暂存起来再进行处理,就需要2片相同容量的存储器,当存储器A处于写入状态时,存储器B处于读出状态。当存储器A写满后发出一个写满信号FULLA,此时存储器B也读空,发出一个读空信号EMPTYB。这时将两个存储器切换工作,存储器A处于读出状态,而存储器B处于写入状态,当存储器A读空而存储器B写满时,存储器A发出读空信号EMPTYA,存储器B发出写满信号FULLB,然后存储器A和B再次切换工作状态。假设存储器的容量为8K字节,8位数据总线。

  • 写回答

0条回答

    报告相同问题?

    悬赏问题

    • ¥15 高德地图点聚合中Marker的位置无法实时更新
    • ¥15 DIFY API Endpoint 问题。
    • ¥20 sub地址DHCP问题
    • ¥15 delta降尺度计算的一些细节,有偿
    • ¥15 Arduino红外遥控代码有问题
    • ¥15 数值计算离散正交多项式
    • ¥30 数值计算均差系数编程
    • ¥15 redis-full-check比较 两个集群的数据出错
    • ¥15 Matlab编程问题
    • ¥15 训练的多模态特征融合模型准确度很低怎么办