qq_34793889 2016-04-26 02:40 采纳率: 100%
浏览 1468

有赏金,求程序。基于verilog乒乓切换。用状态机

在一些数据采集系统中,为了实现数据的实时性和连续性,需要将连续采集到的数据先暂存起来再进行处理,就需要2片相同容量的存储器,当存储器A处于写入状态时,存储器B处于读出状态。当存储器A写满后发出一个写满信号FULLA,此时存储器B也读空,发出一个读空信号EMPTYB。这时将两个存储器切换工作,存储器A处于读出状态,而存储器B处于写入状态,当存储器A读空而存储器B写满时,存储器A发出读空信号EMPTYA,存储器B发出写满信号FULLB,然后存储器A和B再次切换工作状态。假设存储器的容量为8K字节,8位数据总线。

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥15 C#算法问题, 不知道怎么处理这个数据的转换
    • ¥15 YoloV5 第三方库的版本对照问题
    • ¥15 请完成下列相关问题!
    • ¥15 drone 推送镜像时候 purge: true 推送完毕后没有删除对应的镜像,手动拷贝到服务器执行结果正确在样才能让指令自动执行成功删除对应镜像,如何解决?
    • ¥15 求daily translation(DT)偏差订正方法的代码
    • ¥15 js调用html页面需要隐藏某个按钮
    • ¥15 ads仿真结果在圆图上是怎么读数的
    • ¥20 Cotex M3的调试和程序执行方式是什么样的?
    • ¥20 java项目连接sqlserver时报ssl相关错误
    • ¥15 一道python难题3