似曾丶很久 2017-06-01 00:11 采纳率: 0%
浏览 4739

用verilog语言设计一个方波产生器

用verilog语言设计一个方波产生器,并进行功能验证和时序验证

  • 写回答

1条回答

  • weixin_39277081 2019-03-30 02:58
    关注

    initial begin
    clk=0;
    forever #10 clk=~clk;

    end

    评论

报告相同问题?

悬赏问题

  • ¥15 matlab图像高斯低通滤波
  • ¥15 针对曲面部件的制孔路径规划,大家有什么思路吗
  • ¥15 钢筋实图交点识别,机器视觉代码
  • ¥15 如何在Linux系统中,但是在window系统上idea里面可以正常运行?(相关搜索:jar包)
  • ¥50 400g qsfp 光模块iphy方案
  • ¥15 两块ADC0804用proteus仿真时,出现异常
  • ¥15 关于风控系统,如何去选择
  • ¥15 这款软件是什么?需要能满足我的需求
  • ¥15 SpringSecurityOauth2登陆前后request不一致
  • ¥15 禅道二次开发编辑版本,上传不了发行包