MW3647 2020-12-28 20:30 采纳率: 50%
浏览 293
已采纳

请问如何实现基于FPGA的rs485串口通信?

请问如何用verilog HDL语言在开发板上实现~?真诚请教~~~

  • 写回答

2条回答 默认 最新

  • 行走的路人啊 2020-12-29 19:12
    关注

    需要FPGA外接RS485收发器芯片,才可以实现RS485功能。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(1条)

报告相同问题?

悬赏问题

  • ¥15 LiBeAs的带隙等于0.997eV,计算阴离子的N和P
  • ¥15 关于#windows#的问题:怎么用WIN 11系统的电脑 克隆WIN NT3.51-4.0系统的硬盘
  • ¥15 来真人,不要ai!matlab有关常微分方程的问题求解决,
  • ¥15 perl MISA分析p3_in脚本出错
  • ¥15 k8s部署jupyterlab,jupyterlab保存不了文件
  • ¥15 ubuntu虚拟机打包apk错误
  • ¥199 rust编程架构设计的方案 有偿
  • ¥15 回答4f系统的像差计算
  • ¥15 java如何提取出pdf里的文字?
  • ¥100 求三轴之间相互配合画圆以及直线的算法