internal_Error 2017-12-18 13:51 采纳率: 0%
浏览 2385
已结题

求助,quartus ii 下vhdl编译问题,和错误原因

本人初学fpga,用quartus II 64,vhdl写的代码,不知道为什么一个简单的代码都编译不通过。
源代码:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY buzzer IS
PORT (
clk : IN std_logic;

dout : OUT std_logic);

END buzzer;

ARCHITECTURE arch OF buzzer IS
SIGNAL num: std_logic_vector(31 downto 0);
SIGNAL vet: std_logic := '0';
BEGIN
PROCESS(clk)
BEGIN
IF(clk'event and clk ='1') THEN
num <= num + 1;
IF(num = 48000) THEN
num <= "00000000000000000000000000000000";
vet <= vet XOR '1';
END IF;
END IF;
END PROCESS;
dout <= vet;

END arch;

不知道下列错误原因,求大佬给个解决办法,
错误:
Internal Error: Sub-system: FSAC, File: /quartus/fitter/fsac/fsac_lvds_mgr.cpp, Line: 5347
m_util->m_max_non_serdes_ppds_datarate != DTM_ILLEGAL_DELAY
Stack Trace:
0x8b10d: FSAC_LVDS_MGR::init_constant_information + 0xe7d (FITTER_FSAC)
0x8e029: FSAC_LVDS_MGR::FSAC_LVDS_MGR + 0x419 (FITTER_FSAC)
0x8e0ca: FSAC_LVDS_MGR::create_manager + 0x4a (FITTER_FSAC)
0x5c88: fcuda_execute + 0x14f8 (fitter_fcuda)
0x8d89: fcuda_execute + 0x45f9 (fitter_fcuda)
0x4509f: FITCC_EXPERT::fitter_preparation + 0x23f (FITTER_FITCC)
0x46ed7: FITCC_EXPERT::invoke_fitter + 0x427 (FITTER_FITCC)
0x497e: fcuda_execute + 0x1ee (fitter_fcuda)
0xb55d: fmain_start + 0x7cd (FITTER_FMAIN)

0x1264b: qexe_get_command_line + 0x1c5b (comp_qexe)
0x1588d: qexe_process_cmdline_arguments + 0x5ad (comp_qexe)
0x159a1: qexe_standard_main + 0xa1 (comp_qexe)

 0x1a48: MSG_INITIALIZER::~MSG_INITIALIZER + 0x118 (CCL_MSG)
 0x19ec: MSG_INITIALIZER::~MSG_INITIALIZER + 0xbc (CCL_MSG)
 0x53e4: MEM_INITIALIZER::~MEM_INITIALIZER + 0x244 (ccl_mem)
0x3379f: msg_exe_main + 0x8f (CCL_MSG)

0x12773: BaseThreadInitThunk + 0x13 (KERNEL32)
0x70d50: RtlUserThreadStart + 0x20 (ntdll)

End-trace

Quartus II 64-Bit Version 11.1 Build 216 11/23/2011 SJ Full Version
Service Pack Installed: 1

  • 写回答

1条回答 默认 最新

  • qq_37649859 2017-12-18 14:06
    关注

    首先建立一个工程项目,在这个项目中建立VHDL源代码文件,顶层文件名与项目名相同(但后缀不同)。然后在菜单中选定编译就行了

    评论

报告相同问题?

悬赏问题

  • ¥15 安卓adb backup备份应用数据失败
  • ¥15 eclipse运行项目时遇到的问题
  • ¥15 关于#c##的问题:最近需要用CAT工具Trados进行一些开发
  • ¥15 南大pa1 小游戏没有界面,并且报了如下错误,尝试过换显卡驱动,但是好像不行
  • ¥15 没有证书,nginx怎么反向代理到只能接受https的公网网站
  • ¥50 成都蓉城足球俱乐部小程序抢票
  • ¥15 yolov7训练自己的数据集
  • ¥15 esp8266与51单片机连接问题(标签-单片机|关键词-串口)(相关搜索:51单片机|单片机|测试代码)
  • ¥15 电力市场出清matlab yalmip kkt 双层优化问题
  • ¥30 ros小车路径规划实现不了,如何解决?(操作系统-ubuntu)