中关村小霸王 2018-11-30 02:49 采纳率: 0%
浏览 1704

请教一个关于VHDL中PROCESS内赋值顺序的问题

process(clk,sigin1,sigin2)

if clk'event and clk='1' then
sam1 <= sigin1;
flag <= '1';
end if;
请问 if内部的赋值语句是按照顺序先执行sam1 <= sigin1;再执行flag <= '1';,还是两句同时执行

  • 写回答

1条回答 默认 最新

  • zqbnqsdsmd 2018-11-30 13:02
    关注
    评论

报告相同问题?

悬赏问题

  • ¥15 多电路系统共用电源的串扰问题
  • ¥15 shape_predictor_68_face_landmarks.dat
  • ¥15 slam rangenet++配置
  • ¥15 有没有研究水声通信方面的帮我改俩matlab代码
  • ¥15 对于相关问题的求解与代码
  • ¥15 ubuntu子系统密码忘记
  • ¥15 信号傅里叶变换在matlab上遇到的小问题请求帮助
  • ¥15 保护模式-系统加载-段寄存器
  • ¥15 电脑桌面设定一个区域禁止鼠标操作
  • ¥15 求NPF226060磁芯的详细资料