qq_43021977 2018-12-03 08:31
浏览 739
已结题

vhdl语言:如何将正弦信号的数字数据输入到wm8731并通过示波器测得正弦波?

  • 我已经将正弦信号的数字部分编好 这部分代码有3个功能:1. 输出不同频率的信号 2.人为控制输出有限周期的信号 3.可以输出正弦波和三角波 详细问题:一个信号可以通过wm8731里的DA-转换器将数字信号转换成模拟信号,但是我怎么用vhdl语言去调用wm8731将并行的数字信号的数据输入到wm8731里面去 ``` entity generator_gai is port( clk,reset,zustand: in bit; --clk: 50MHz,PIN_L1; reset:SW9,PIN_L2; -- zustand: SW8,PIN_M1, Um zwischen die Sinussignal und Dreiecksignal zu wechseln. Zustand = 1: Dreiecksignal; Zustand = 0: Sinussignal; key: in bit_vector(3 downto 0); --Die Frequenz der Signal wird durch Switches von 0 bis 9999 Hz eingestellt. Spg: out integer range 256 downto 0; -- Spg: die Spannungswert der Signal Schalter: in bit_vector(7 downto 0); -- Die Zahl der Ausgabe der Signal wird durch Switches eingestellt. R: out bit; -- Ausgang der Rechtecksignal eine: out bit_vector(6 downto 0); -- Beispiel: 9876: za=6, zehn=7, hundert=8, tausend=9. Die 4 Ausgangen bedeuten, dass der Wert auf der 7-Segment dargestellt wird. zehn: out bit_vector(6 downto 0); hundert: out bit_vector(6 downto 0); tausend: out bit_vector(6 downto 0)); end generator_gai;

architecture structure of generator_gai is
signal cnt,cnt_folge,f_divide: integer range 50000000 downto 0; -- f_divide: frequenz-divide, Man möchte eine Frequenz bestimmen, muss man die Count beschränkt.
signal f_divide_halbe: integer range 25000000 downto 0;
signal sw0,sw1,sw2,sw3,sw4,sw5,sw6,sw7,sw_ges: integer range 512 downto 0; -- diese Parameter bedeuten den Wert der Schalter. a: die 1.Schalter und bedeutet 0 und 1. b: die 2.Schalter und bedeutet 0 und 2.......
signal clk1,Swg_clk: bit;-- clk1:Frequenz der Signal. Swg_clk: wenn man möchte die Taste benutzen, muss man die Schwingung beseitigen. Deshalb kann man mit der Periode sichern, dass die Tastt schon gedrückt wird.
signal Swg_cnt,Swg_cnt_folge: integer range 10000 downto 0; -- Count zum Vermeiden der Schwingung
signal key0_count,key0_count_folge,key1_count,key1_count_folge,key2_count,key2_count_folge,key3_count,key3_count_folge,clk1_count: integer range 5000000 downto 0;
signal clk1_count_folge: integer range 5000001 downto 0;
signal eine1,zehn1,hundert1,tausend1: integer range 10 downto 0; -- Die Wert der Frequenz
signal num,num_folge: integer range 255 downto 0;-- num: sie ist die Abszisse(X-wert).
signal periode,periode_folge: integer range 0 to 255; -- Die Anzahl der Ausgabe des Signals
signal key0,key0_folge: integer range 10 downto 0; -- Die Werte der Frequenz des Signals
signal key1,key1_folge: integer range 100 downto 0;

signal key2,key2_folge: integer range 1000 downto 0;
signal key3,key3_folge,key_ges: integer range 10000 downto 0;

begin
Z_Speicher:process(clk)
begin
if reset = '1' then
periode <= 1;
elsif clk = '1' and clk'event then
cnt <= cnt_folge; Swg_cnt <= Swg_cnt_folge; key0_count <= key0_count_folge; key1_count <= key1_count_folge;
key2_count <= key2_count_folge; key3_count <= key3_count_folge; key0 <= key0_folge; key1 <= key1_folge;
key2 <= key2_folge; key3 <= key3_folge; clk1_count <= clk1_count_folge; periode <= periode_folge;
end if;
if reset = '1' then
num <= 255;
elsif clk1 = '1' and clk1'event then
num <= num_folge;
end if;
end process Z_Speicher;

UE_SN:process(cnt,Swg_clk,key,reset)
begin
if cnt >= f_divide then
cnt_folge <= 0;
else
cnt_folge <= cnt + 1;
end if;
if Schalter(0) = '1' then
sw0 <= 1;
else
sw0 <= 0;
end if;
if Schalter(1) = '1' then
sw1 <= 2;
else
sw1 <= 0;
end if;
if Schalter(2) = '1' then
sw2 <= 4;
else
sw2 <= 0;
end if;
if Schalter(3) = '1' then
sw3 <= 8;
else
sw3 <= 0;
end if;
if Schalter(4) = '1' then
sw4 <= 16;
else
sw4 <= 0;
end if;
if Schalter(5) = '1' then
sw5 <= 32;
else
sw5 <= 0;
end if;
if Schalter(6) = '1' then
sw6 <= 64;
else
sw6 <= 0;
end if;
if Schalter(7) = '1' then
sw7 <= 128;
else
sw7 <= 0;
end if;
sw_ges <= sw0 + sw1 + sw2 + sw3 + sw4 + sw5 + sw6 + sw7;

if Swg_cnt >= 10000 then -- Festfrequenz 5kHz: 200us;
Swg_cnt_folge <= 0;
else
Swg_cnt_folge <= Swg_cnt + 1;
end if;

if reset = '1' then
key0_folge <= 0; key1_folge <= 0; key2_folge <= 0; key3_folge <= 0;

elsif clk = '1' and clk'event then
if clk1 = '1' then

clk1_count_folge <= clk1_count + 1; -- Das Signal kommt viele Peak auf dem Anfangsplatz aus. Deshalb muss man diese Peak vermeiden.
else
if clk1_count > 20 then
clk1_count_folge <= 0;
if num >= 255 then
periode_folge <= periode + 1;
if sw_ges = 128 then -- Wenn SW7(Schalter(7)) = 1, dann läuft das Signal immer.
num_folge <= 0;
else
if sw_ges >= periode then
num_folge <= 0;

        else 
          num_folge <= 255;
            periode_folge <= sw_ges + 1;
        end if;
      end if;
  else
    num_folge <= num + 1;
  end if; 
else
    clk1_count_folge <= 0;
end if;

end if;
if key(0) = '0' then
key0_count_folge <= key0_count + 1;
else
if key0_count > 500000 then -- Wenn man ein Key drücken, soll man die Schwingung vermeiden.
key0_count_folge <= 0;
if key0 < 9 then
key0_folge <= key0 + 1;
else
key0_folge <= 0;
end if;
else
key0_count_folge <= 0;
end if;
end if;
if key(1) = '0' then
key1_count_folge <= key1_count + 1;
else
if key1_count > 500000 then
key1_count_folge <= 0;
if key1 < 90 then
key1_folge <= key1 + 10;
else
key1_folge <= 0;
end if;
else
key1_count_folge <= 0;
end if;
end if;
if key(2) = '0' then
key2_count_folge <= key2_count + 1;
else
if key2_count > 500000 then
key2_count_folge <= 0;
if key2 < 900 then
key2_folge <= key2 + 100;
else
key2_folge <= 0;
end if;
else
key2_count_folge <= 0;
end if;
end if;
if key(3) = '0' then
key3_count_folge <= key3_count + 1;
else
if key3_count > 500000 then
key3_count_folge <= 0;
if key3 < 9000 then
key3_folge <= key3 + 1000;
else
key3_folge <= 0;
end if;
else
key3_count_folge <= 0;
end if;
end if;
end if;
if key0 = 0 and key1 = 0 and key2 = 0 and key3 = 0 then
f_divide <= 0;
else
f_divide <= 50000000/(key0 + key1 + key2 + key3);
end if;
eine1 <= key0;
zehn1 <= key1/10;
hundert1 <= key2/100;
tausend1 <= key3/1000;
end process UE_SN;

AUS_SN:process(eine1,zehn1,hundert1,tausend1,cnt)
begin
case eine1 is when 0 => eine <= "1000000"; -- Die Binärcode des Nummer für 7-Segment
when 1 => eine <= "1111001";
when 2 => eine <= "0100100";
when 3 => eine <= "0110000";
when 4 => eine <= "0011001";
when 5 => eine <= "0010010";
when 6 => eine <= "0000011";
when 7 => eine <= "1111000";
when 8 => eine <= "0000000";
when others => eine <= "0011000";
end case;
case zehn1 is when 0 => zehn <= "1000000";
when 1 => zehn <= "1111001";
when 2 => zehn <= "0100100";
when 3 => zehn <= "0110000";
when 4 => zehn <= "0011001";
when 5 => zehn <= "0010010";
when 6 => zehn <= "0000011";
when 7 => zehn <= "1111000";
when 8 => zehn <= "0000000";
when others => zehn <= "0011000";
end case;
case hundert1 is when 0 => hundert <= "1000000";
when 1 => hundert <= "1111001";
when 2 => hundert <= "0100100";
when 3 => hundert <= "0110000";
when 4 => hundert <= "0011001";
when 5 => hundert <= "0010010";
when 6 => hundert <= "0000011";
when 7 => hundert <= "1111000";
when 8 => hundert <= "0000000";
when others => hundert <= "0011000";
end case;
case tausend1 is when 0 => tausend <= "1000000";
when 1 => tausend <= "1111001";
when 2 => tausend <= "0100100";
when 3 => tausend <= "0110000";
when 4 => tausend <= "0011001";
when 5 => tausend <= "0010010";
when 6 => tausend <= "0000011";
when 7 => tausend <= "1111000";
when 8 => tausend <= "0000000";
when others => tausend <= "0011000";
end case;
if Swg_cnt >= 5000 then
Swg_clk <= '1';
else
Swg_clk <= '0';
end if;
if cnt >= f_divide/2 then
clk1 <= '1';
else
clk1 <= '0';
end if;
R <= clk1;
if zustand = '1' then
case num is when 0 => Spg <= 64; when 33 => Spg <= 97; when 66 => Spg <= 126; when 99 => Spg <= 93;
when 1 => Spg <= 65; when 34 => Spg <= 98; when 67 => Spg <= 125; when 100 => Spg <= 92;
when 2 => Spg <= 66; when 35 => Spg <= 99; when 68 => Spg <= 124; when 101 => Spg <= 91;
when 3 => Spg <= 67; when 36 => Spg <= 100; when 69 => Spg <= 123; when 102 => Spg <= 90;
when 4 => Spg <= 68; when 37 => Spg <= 101; when 70 => Spg <= 122; when 103 => Spg <= 89;
when 5 => Spg <= 69; when 38 => Spg <= 102; when 71 => Spg <= 121; when 104 => Spg <= 88;
when 6 => Spg <= 70; when 39 => Spg <= 103; when 72 => Spg <= 120; when 105 => Spg <= 87;
when 7 => Spg <= 71; when 40 => Spg <= 104; when 73 => Spg <= 119; when 106 => Spg <= 86;
when 8 => Spg <= 72; when 41 => Spg <= 105; when 74 => Spg <= 118; when 107 => Spg <= 85;
when 9 => Spg <= 73; when 42 => Spg <= 106; when 75 => Spg <= 117; when 108 => Spg <= 84;
when 10 => Spg <= 74; when 43 => Spg <= 107; when 76 => Spg <= 116; when 109 => Spg <= 83;
when 11 => Spg <= 75; when 44 => Spg <= 108; when 77 => Spg <= 115; when 110 => Spg <= 82;
when 12 => Spg <= 76; when 45 => Spg <= 109; when 78 => Spg <= 114; when 111 => Spg <= 81;
when 13 => Spg <= 77; when 46 => Spg <= 110; when 79 => Spg <= 113; when 112 => Spg <= 80;
when 14 => Spg <= 78; when 47 => Spg <= 111; when 80 => Spg <= 112; when 113 => Spg <= 79;
when 15 => Spg <= 79; when 48 => Spg <= 112; when 81 => Spg <= 111; when 114 => Spg <= 78;
when 16 => Spg <= 80; when 49 => Spg <= 113; when 82 => Spg <= 110; when 115 => Spg <= 77;
when 17 => Spg <= 81; when 50 => Spg <= 114; when 83 => Spg <= 109; when 116 => Spg <= 76;
when 18 => Spg <= 82; when 51 => Spg <= 115; when 84 => Spg <= 108; when 117 => Spg <= 75;
when 19 => Spg <= 83; when 52 => Spg <= 116; when 85 => Spg <= 107; when 118 => Spg <= 74;
when 20 => Spg <= 84; when 53 => Spg <= 117; when 86 => Spg <= 106; when 119 => Spg <= 73;
when 21 => Spg <= 85; when 54 => Spg <= 118; when 87 => Spg <= 105; when 120 => Spg <= 72;
when 22 => Spg <= 86; when 55 => Spg <= 119; when 88 => Spg <= 104; when 121 => Spg <= 71;
when 23 => Spg <= 87; when 56 => Spg <= 120; when 89 => Spg <= 103; when 122 => Spg <= 70;
when 24 => Spg <= 88; when 57 => Spg <= 121; when 90 => Spg <= 102; when 123 => Spg <= 69;
when 25 => Spg <= 89; when 58 => Spg <= 122; when 91 => Spg <= 101; when 124 => Spg <= 68;
when 26 => Spg <= 90; when 59 => Spg <= 123; when 92 => Spg <= 100; when 125 => Spg <= 67;
when 27 => Spg <= 91; when 60 => Spg <= 124; when 93 => Spg <= 99; when 126 => Spg <= 66;
when 28 => Spg <= 92; when 61 => Spg <= 125; when 94 => Spg <= 98; when 127 => Spg <= 65;
when 29 => Spg <= 93; when 62 => Spg <= 126; when 95 => Spg <= 97; when 128 => Spg <= 64;
when 30 => Spg <= 94; when 63 => Spg <= 127; when 96 => Spg <= 96; when 129 => Spg <= 63;
when 31 => Spg <= 95; when 64 => Spg <= 128; when 97 => Spg <= 95; when 130 => Spg <= 62;
when 32 => Spg <= 96; when 65 => Spg <= 127; when 98 => Spg <= 94; when 131 => Spg <= 61;

              when 132 => Spg <= 60; when 162 => Spg <= 30; when 192 => Spg <= 0; when 222 => Spg <= 30;
              when 133 => Spg <= 59; when 163 => Spg <= 29; when 193 => Spg <= 1; when 223 => Spg <= 31;
              when 134 => Spg <= 58; when 164 => Spg <= 28; when 194 => Spg <= 2; when 224 => Spg <= 32;
              when 135 => Spg <= 57; when 165 => Spg <= 27; when 195 => Spg <= 3; when 225 => Spg <= 33;
              when 136 => Spg <= 56; when 166 => Spg <= 26; when 196 => Spg <= 4; when 226 => Spg <= 34;
              when 137 => Spg <= 55; when 167 => Spg <= 25; when 197 => Spg <= 5; when 227 => Spg <= 35;
              when 138 => Spg <= 54; when 168 => Spg <= 24; when 198 => Spg <= 6; when 228 => Spg <= 36;
              when 139 => Spg <= 53; when 169 => Spg <= 23; when 199 => Spg <= 7; when 229 => Spg <= 37;
              when 140 => Spg <= 52; when 170 => Spg <= 22; when 200 => Spg <= 8; when 230 => Spg <= 38;
             when 141 => Spg <= 51; when 171 => Spg <= 21; when 201 => Spg <= 9; when 231 => Spg <= 39;
              when 142 => Spg <= 50; when 172 => Spg <= 20; when 202 => Spg <= 10; when 232 => Spg <= 40;
              when 143 => Spg <= 49; when 173 => Spg <= 19; when 203 => Spg <= 11; when 233 => Spg <= 41;
              when 144 => Spg <= 48; when 174 => Spg <= 18; when 204 => Spg <= 12; when 234 => Spg <= 42;
              when 145 => Spg <= 47; when 175 => Spg <= 17; when 205 => Spg <= 13; when 235 => Spg <= 43;
              when 146 => Spg <= 46; when 176 => Spg <= 16; when 206 => Spg <= 14; when 236 => Spg <= 44;
             when 147 => Spg <= 45; when 177 => Spg <= 15; when 207 => Spg <= 15; when 237 => Spg <= 45;
              when 148 => Spg <= 44; when 178 => Spg <= 14; when 208 => Spg <= 16; when 238 => Spg <= 46;
              when 149 => Spg <= 43; when 179 => Spg <= 13; when 209 => Spg <= 17; when 239 => Spg <= 47;
              when 150 => Spg <= 42; when 180 => Spg <= 12; when 210 => Spg <= 18; when 240 => Spg <= 48;
              when 151 => Spg <= 41; when 181 => Spg <= 11; when 211 => Spg <= 19; when 241 => Spg <= 49;                
              when 152 => Spg <= 40; when 182 => Spg <= 10; when 212 => Spg <= 20; when 242 => Spg <= 50;
             when 153 => Spg <= 39; when 183 => Spg <= 9; when 213 => Spg <= 21; when 243 => Spg <= 51;
              when 154 => Spg <= 38; when 184 => Spg <= 8; when 214 => Spg <= 22; when 244 => Spg <= 52;
              when 155 => Spg <= 37; when 185 => Spg <= 7; when 215 => Spg <= 23; when 245 => Spg <= 53;
              when 156 => Spg <= 36; when 186 => Spg <= 6; when 216 => Spg <= 24; when 246 => Spg <= 54;
              when 157 => Spg <= 35; when 187 => Spg <= 5; when 217 => Spg <= 25; when 247 => Spg <= 55;
              when 158 => Spg <= 34; when 188 => Spg <= 4; when 218 => Spg <= 26; when 248 => Spg <= 56;
              when 159 => Spg <= 33; when 189 => Spg <= 3; when 219 => Spg <= 27; when 249 => Spg <= 57;
              when 160 => Spg <= 32; when 190 => Spg <= 2; when 220 => Spg <= 28; when 250 => Spg <= 58;
              when 161 => Spg <= 31; when 191 => Spg <= 1; when 221 => Spg <= 29; when 251 => Spg <= 59;

              when 252 => Spg <= 60; when 253 => Spg <= 61; when 254 => Spg <= 62; when 255 => Spg <= 63;                 
              end case;

elsif zustand = '0' then
case num is when 0 => Spg <= 128; when 33 => Spg <= 221; when 66 => Spg <= 256; when 99 => Spg <= 212;
when 1 => Spg <= 131; when 34 => Spg <= 223; when 67 => Spg <= 256; when 100 => Spg <= 209;
when 2 => Spg <= 134; when 35 => Spg <= 225; when 68 => Spg <= 255; when 101 => Spg <= 207;
when 3 => Spg <= 137; when 36 => Spg <= 227; when 69 => Spg <= 255; when 102 => Spg <= 204;
when 4 => Spg <= 141; when 37 => Spg <= 229; when 70 => Spg <= 255; when 103 => Spg <= 202;
when 5 => Spg <= 144; when 38 => Spg <= 231; when 71 => Spg <= 254; when 104 => Spg <= 199;
when 6 => Spg <= 147; when 39 => Spg <= 233; when 72 => Spg <= 254; when 105 => Spg <= 196;
when 7 => Spg <= 150; when 40 => Spg <= 234; when 73 => Spg <= 253; when 106 => Spg <= 194;
when 8 => Spg <= 153; when 41 => Spg <= 236; when 74 => Spg <= 252; when 107 => Spg <= 191;
when 9 => Spg <= 156; when 42 => Spg <= 238; when 75 => Spg <= 251; when 108 => Spg <= 188;
when 10 => Spg <= 159; when 43 => Spg <= 239; when 76 => Spg <= 250; when 109 => Spg <= 186;
when 11 => Spg <= 162; when 44 => Spg <= 241; when 77 => Spg <= 250; when 110 => Spg <= 183;
when 12 => Spg <= 165; when 45 => Spg <= 242; when 78 => Spg <= 249; when 111 => Spg <= 180;
when 13 => Spg <= 168; when 46 => Spg <= 244; when 79 => Spg <= 247; when 112 => Spg <= 177;
when 14 => Spg <= 171; when 47 => Spg <= 245; when 80 => Spg <= 246; when 113 => Spg <= 174;
when 15 => Spg <= 174; when 48 => Spg <= 246; when 81 => Spg <= 245; when 114 => Spg <= 171;
when 16 => Spg <= 177; when 49 => Spg <= 247; when 82 => Spg <= 244; when 115 => Spg <= 168;
when 17 => Spg <= 180; when 50 => Spg <= 249; when 83 => Spg <= 242; when 116 => Spg <= 165;
when 18 => Spg <= 183; when 51 => Spg <= 250; when 84 => Spg <= 241; when 117 => Spg <= 162;
when 19 => Spg <= 186; when 52 => Spg <= 250; when 85 => Spg <= 239; when 118 => Spg <= 159;
when 20 => Spg <= 188; when 53 => Spg <= 251; when 86 => Spg <= 238; when 119 => Spg <= 156;
when 21 => Spg <= 191; when 54 => Spg <= 252; when 87 => Spg <= 236; when 120 => Spg <= 153;
when 22 => Spg <= 194; when 55 => Spg <= 253; when 88 => Spg <= 234; when 121 => Spg <= 150;
when 23 => Spg <= 196; when 56 => Spg <= 254; when 89 => Spg <= 233; when 122 => Spg <= 147;
when 24 => Spg <= 199; when 57 => Spg <= 254; when 90 => Spg <= 231; when 123 => Spg <= 144;
when 25 => Spg <= 202; when 58 => Spg <= 255; when 91 => Spg <= 229; when 124 => Spg <= 141;
when 26 => Spg <= 204; when 59 => Spg <= 255; when 92 => Spg <= 227; when 125 => Spg <= 137;
when 27 => Spg <= 207; when 60 => Spg <= 255; when 93 => Spg <= 225; when 126 => Spg <= 134;
when 28 => Spg <= 209; when 61 => Spg <= 256; when 94 => Spg <= 223; when 127 => Spg <= 131;
when 29 => Spg <= 212; when 62 => Spg <= 256; when 95 => Spg <= 221; when 128 => Spg <= 128;
when 30 => Spg <= 214; when 63 => Spg <= 256; when 96 => Spg <= 219; when 129 => Spg <= 125;
when 31 => Spg <= 216; when 64 => Spg <= 256; when 97 => Spg <= 216; when 130 => Spg <= 122;
when 32 => Spg <= 219; when 65 => Spg <= 256; when 98 => Spg <= 214; when 131 => Spg <= 119;

            when 132 => Spg <= 115; when 162 => Spg <= 33; when 192 => Spg <= 0; when 222 => Spg <= 33;
            when 133 => Spg <= 112; when 163 => Spg <= 31; when 193 => Spg <= 0; when 223 => Spg <= 35;
            when 134 => Spg <= 109; when 164 => Spg <= 29; when 194 => Spg <= 0; when 224 => Spg <= 37;
            when 135 => Spg <= 106; when 165 => Spg <= 27; when 195 => Spg <= 0; when 225 => Spg <= 40;
            when 136 => Spg <= 103; when 166 => Spg <= 25; when 196 => Spg <= 1; when 226 => Spg <= 42;
            when 137 => Spg <= 100; when 167 => Spg <= 23; when 197 => Spg <= 1; when 227 => Spg <= 44;
            when 138 => Spg <= 97; when 168 => Spg <= 22; when 198 => Spg <= 1; when 228 => Spg <= 47;
            when 139 => Spg <= 94; when 169 => Spg <= 20; when 199 => Spg <= 2; when 229 => Spg <= 49;
            when 140 => Spg <= 91; when 170 => Spg <= 18; when 200 => Spg <= 2; when 230 => Spg <= 52;
           when 141 => Spg <= 88; when 171 => Spg <= 17; when 201 => Spg <= 3; when 231 => Spg <= 54;
            when 142 => Spg <= 85; when 172 => Spg <= 15; when 202 => Spg <= 4; when 232 => Spg <= 57;
            when 143 => Spg <= 82; when 173 => Spg <= 14; when 203 => Spg <= 5; when 233 => Spg <= 60;
            when 144 => Spg <= 79; when 174 => Spg <= 12; when 204 => Spg <= 6; when 234 => Spg <= 62;
            when 145 => Spg <= 76; when 175 => Spg <= 11; when 205 => Spg <= 6; when 235 => Spg <= 65;
            when 146 => Spg <= 73; when 176 => Spg <= 10; when 206 => Spg <= 7; when 236 => Spg <= 68;
           when 147 => Spg <= 70; when 177 => Spg <= 9; when 207 => Spg <= 9; when 237 => Spg <= 70;
            when 148 => Spg <= 68; when 178 => Spg <= 7; when 208 => Spg <= 10; when 238 => Spg <= 73;
            when 149 => Spg <= 65; when 179 => Spg <= 6; when 209 => Spg <= 11; when 239 => Spg <= 76;
            when 150 => Spg <= 62; when 180 => Spg <= 6; when 210 => Spg <= 12; when 240 => Spg <= 79;
            when 151 => Spg <= 60; when 181 => Spg <= 5; when 211 => Spg <= 14; when 241 => Spg <= 82;               
            when 152 => Spg <= 57; when 182 => Spg <= 4; when 212 => Spg <= 15; when 242 => Spg <= 85;
           when 153 => Spg <= 54; when 183 => Spg <= 3; when 213 => Spg <= 17; when 243 => Spg <= 88;
            when 154 => Spg <= 52; when 184 => Spg <= 2; when 214 => Spg <= 18; when 244 => Spg <= 91;
            when 155 => Spg <= 49; when 185 => Spg <= 2; when 215 => Spg <= 20; when 245 => Spg <= 94;
            when 156 => Spg <= 47; when 186 => Spg <= 1; when 216 => Spg <= 22; when 246 => Spg <= 97;
            when 157 => Spg <= 44; when 187 => Spg <= 1; when 217 => Spg <= 23; when 247 => Spg <= 100;
            when 158 => Spg <= 42; when 188 => Spg <= 1; when 218 => Spg <= 25; when 248 => Spg <= 103;
            when 159 => Spg <= 40; when 189 => Spg <= 0; when 219 => Spg <= 27; when 249 => Spg <= 106;
            when 160 => Spg <= 37; when 190 => Spg <= 0; when 220 => Spg <= 29; when 250 => Spg <= 109;
            when 161 => Spg <= 35; when 191 => Spg <= 0; when 221 => Spg <= 31; when 251 => Spg <= 112;

            when 252 => Spg <= 115; when 253 => Spg <= 119; when 254 => Spg <= 122; when 255 => Spg <= 128;               
            end case;

end if;
end process AUS_SN;

end structure;

  • 写回答

0条回答

    报告相同问题?

    悬赏问题

    • ¥15 寻一个支付宝扫码远程授权登录的软件助手app
    • ¥15 解riccati方程组
    • ¥15 display:none;样式在嵌套结构中的已设置了display样式的元素上不起作用?
    • ¥15 使用rabbitMQ 消息队列作为url源进行多线程爬取时,总有几个url没有处理的问题。
    • ¥15 Ubuntu在安装序列比对软件STAR时出现报错如何解决
    • ¥50 树莓派安卓APK系统签名
    • ¥65 汇编语言除法溢出问题
    • ¥15 Visual Studio问题
    • ¥20 求一个html代码,有偿
    • ¥100 关于使用MATLAB中copularnd函数的问题