qq_41782160 2021-03-06 23:21 采纳率: 0%
浏览 625

PX4在进行make px4_sitl_default jmavsim时报错

[  0%] Generating ../../logs
[  0%] Generating serial_params.c
[  0%] Built target uorb_headers
[  0%] Built target component_version_json
[  0%] Built target logs_symlink
[  1%] Built target drivers_board
Failed to import jinja2: No module named 'jinja2'

You may need to install it using:
    pip3 install --user jinja2

src/lib/parameters/CMakeFiles/parameters_xml.dir/build.make:158: recipe for target 'generated_params/serial_params.c' failed
make[4]: *** [generated_params/serial_params.c] Error 1
CMakeFiles/Makefile2:11048: recipe for target 'src/lib/parameters/CMakeFiles/parameters_xml.dir/all' failed
make[3]: *** [src/lib/parameters/CMakeFiles/parameters_xml.dir/all] Error 2
make[3]: *** 正在等待未完成的任务....
Scanning dependencies of target ecl_geo_lookup
Scanning dependencies of target ecl_airdata
Scanning dependencies of target ecl_geo
[  1%] Building CXX object src/lib/ecl/airdata/CMakeFiles/ecl_airdata.dir/WindEstimator.cpp.o
[  1%] Building CXX object src/lib/ecl/geo_lookup/CMakeFiles/ecl_geo_lookup.dir/geo_mag_declination.cpp.o
[  1%] Building CXX object src/lib/ecl/geo/CMakeFiles/ecl_geo.dir/geo.cpp.o
[  2%] Linking CXX static library libecl_geo_lookup.a
[  2%] Built target ecl_geo_lookup
[  2%] Linking CXX static library libecl_geo.a
[  2%] Built target ecl_geo
[  2%] Linking CXX static library libecl_airdata.a
[  2%] Built target ecl_airdata
CMakeFiles/Makefile2:50444: recipe for target 'platforms/posix/CMakeFiles/jmavsim.dir/rule' failed
make[2]: *** [platforms/posix/CMakeFiles/jmavsim.dir/rule] Error 2
Makefile:17034: recipe for target 'jmavsim' failed
make[1]: *** [jmavsim] Error 2
Makefile:217: recipe for target 'px4_sitl_default' failed
make: *** [px4_sitl_default] Error 2

上面是报错代码,查了很多回答,没有解决问题

使用的是PX4官方安装脚本,重新安装了几次,依旧没有解决

刚接触PX4,对这方面不是很了解

  • 写回答

1条回答 默认 最新

  • qq_41782160 2021-03-06 23:23
    关注

    jinja2模块没安装,报错信息太长没看到,待我安装完毕试试

    评论

报告相同问题?

悬赏问题

  • ¥15 安卓adb backup备份应用数据失败
  • ¥15 eclipse运行项目时遇到的问题
  • ¥15 关于#c##的问题:最近需要用CAT工具Trados进行一些开发
  • ¥15 南大pa1 小游戏没有界面,并且报了如下错误,尝试过换显卡驱动,但是好像不行
  • ¥15 没有证书,nginx怎么反向代理到只能接受https的公网网站
  • ¥50 成都蓉城足球俱乐部小程序抢票
  • ¥15 yolov7训练自己的数据集
  • ¥15 esp8266与51单片机连接问题(标签-单片机|关键词-串口)(相关搜索:51单片机|单片机|测试代码)
  • ¥15 电力市场出清matlab yalmip kkt 双层优化问题
  • ¥30 ros小车路径规划实现不了,如何解决?(操作系统-ubuntu)