南极洲的烤地瓜 2021-05-16 16:55
浏览 33

如何将modelsim的仿真结果存储到TXT文件?

在使用quartus II和modelsim联合仿真时,怎么将仿真结果保存到TXT文件?因为要使用MATLAB对TXT中的数据进行分析

例如我写了一个计数器的Verilog程序,我想将仿真结果保存到TXT文件中;

我要在.vt文件中加入一些怎么样的代码才能实现我所需要的功能?

  • 写回答

0条回答 默认 最新

    报告相同问题?

    悬赏问题

    • ¥15 ubuntu22.04上安装ursim-3.15.8.106339遇到的问题
    • ¥15 求螺旋焊缝的图像处理
    • ¥15 blast算法(相关搜索:数据库)
    • ¥15 请问有人会紧聚焦相关的matlab知识嘛?
    • ¥15 网络通信安全解决方案
    • ¥50 yalmip+Gurobi
    • ¥20 win10修改放大文本以及缩放与布局后蓝屏无法正常进入桌面
    • ¥15 itunes恢复数据最后一步发生错误
    • ¥15 关于#windows#的问题:2024年5月15日的win11更新后资源管理器没有地址栏了顶部的地址栏和文件搜索都消失了
    • ¥100 H5网页如何调用微信扫一扫功能?