派件员 2021-06-10 12:01 采纳率: 100%
浏览 68
已结题

有偿求惑——10分频计数器

用Verilog HDL语言设计一个带异步清零功能的10分频计数器,输出波形的占空比50%。

改进是:占空比40%(非50%)的模10计数分频。

劳烦注释一下十分频原理 + 如何调整输出波形的占空比?

报酬¥10~¥15,微信支付。绝不欺骗人!!!

到时私聊,好像不可以发微信二维码。帮帮忙吧!想学习

  • 写回答

1条回答 默认 最新

  • 派件员 2021-11-28 14:57
    关注

    现在啊,哈哈哈哈哈真是好笑,兜兜转转又来到了这里,可以说是现在在认真学,那就从此开始,救赎自己吧。写类似博客一样的,自娱自乐,督促自己吧。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 12月6日
  • 已采纳回答 11月28日

悬赏问题

  • ¥15 在若依框架下实现人脸识别
  • ¥15 网络科学导论,网络控制
  • ¥100 安卓tv程序连接SQLSERVER2008问题
  • ¥15 利用Sentinel-2和Landsat8做一个水库的长时序NDVI的对比,为什么Snetinel-2计算的结果最小值特别小,而Lansat8就很平均
  • ¥15 metadata提取的PDF元数据,如何转换为一个Excel
  • ¥15 关于arduino编程toCharArray()函数的使用
  • ¥100 vc++混合CEF采用CLR方式编译报错
  • ¥15 coze 的插件输入飞书多维表格 app_token 后一直显示错误,如何解决?
  • ¥15 vite+vue3+plyr播放本地public文件夹下视频无法加载
  • ¥15 c#逐行读取txt文本,但是每一行里面数据之间空格数量不同