派件员 2021-06-10 12:01 采纳率: 100%
浏览 66
已结题

有偿求惑——10分频计数器

用Verilog HDL语言设计一个带异步清零功能的10分频计数器,输出波形的占空比50%。

改进是:占空比40%(非50%)的模10计数分频。

劳烦注释一下十分频原理 + 如何调整输出波形的占空比?

报酬¥10~¥15,微信支付。绝不欺骗人!!!

到时私聊,好像不可以发微信二维码。帮帮忙吧!想学习

  • 写回答

1条回答 默认 最新

  • 派件员 2021-11-28 14:57
    关注

    现在啊,哈哈哈哈哈真是好笑,兜兜转转又来到了这里,可以说是现在在认真学,那就从此开始,救赎自己吧。写类似博客一样的,自娱自乐,督促自己吧。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 12月6日
  • 已采纳回答 11月28日

悬赏问题

  • ¥15 Stata 面板数据模型选择
  • ¥20 idea运行测试代码报错问题
  • ¥15 网络监控:网络故障告警通知
  • ¥15 django项目运行报编码错误
  • ¥15 请问这个是什么意思?
  • ¥15 STM32驱动继电器
  • ¥15 Windows server update services
  • ¥15 关于#c语言#的问题:我现在在做一个墨水屏设计,2.9英寸的小屏怎么换4.2英寸大屏
  • ¥15 模糊pid与pid仿真结果几乎一样
  • ¥15 java的GUI的运用