木蠹生 2021-09-10 00:27 采纳率: 0%
浏览 87

在有各个模块的前提下用Xilinx14.7如何写顶层top文件(vhdl)

用软件Xilinx ise 14.7进行顶层top文件的编写时,已经知道各个模块的vhd文件了,可是我自己写的top文件总是没有有效的实验结果。如果有人会的话麻烦帮我看一下啦

img

img

img

img

img

  • 写回答

1条回答 默认 最新

  • ljy201003 2021-09-16 19:32
    关注

    各个子模块先生成原理图文件,然后再新建一个原理图文件取名top,在top文件中添加子模块 ,连线,完成。

    评论

报告相同问题?

问题事件

  • 创建了问题 9月10日

悬赏问题

  • ¥88 实在没有想法,需要个思路
  • ¥15 python中合并修改日期相同的CSV文件并按照修改日期的名字命名文件
  • ¥15 有赏,i卡绘世画不出
  • ¥15 如何用stata画出文献中常见的安慰剂检验图
  • ¥15 c语言链表结构体数据插入
  • ¥40 使用MATLAB解答线性代数问题
  • ¥15 COCOS的问题COCOS的问题
  • ¥15 FPGA-SRIO初始化失败
  • ¥15 MapReduce实现倒排索引失败
  • ¥15 ZABBIX6.0L连接数据库报错,如何解决?(操作系统-centos)