qq_52934919 2021-11-15 17:04 采纳率: 50%
浏览 47
已结题

FPGA测频不准,对系统时钟的计数少几十

FPGA采取等精度测量,当对待测信号fx_cnt计数10000次时停止对系统时钟fs_cnt的计数,将计数值传给单片机,让单片机计算最终频率
fx_clk=fx_cnt*100,000,000/fs_cnt
100M是调用FPGA锁相环生成的时钟。
当我把fx和fs计数值显示在单片机LCD上时发现
fx确实计数一万次,但是fs的计数会少很多(输入fx低时少记100多次),最后算得的待测频率误差很大只能精确到k。
望大佬求解

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2021-11-15 17:37
    关注

    一般普通的晶体振荡器的精度在 50~100ppm,(百万分之一),按50ppm算
    100MHz误差为+/-5000Hz
    100000000*50/1000000=5000Hz
    你的测量精度在1000Hz,不错了

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 11月23日
  • 已采纳回答 11月15日
  • 创建了问题 11月15日

悬赏问题

  • ¥88 实在没有想法,需要个思路
  • ¥15 python中合并修改日期相同的CSV文件并按照修改日期的名字命名文件
  • ¥15 有赏,i卡绘世画不出
  • ¥15 如何用stata画出文献中常见的安慰剂检验图
  • ¥15 c语言链表结构体数据插入
  • ¥40 使用MATLAB解答线性代数问题
  • ¥15 COCOS的问题COCOS的问题
  • ¥15 FPGA-SRIO初始化失败
  • ¥15 MapReduce实现倒排索引失败
  • ¥15 ZABBIX6.0L连接数据库报错,如何解决?(操作系统-centos)