qq_18147713 2021-11-17 09:24 采纳率: 73.8%
浏览 34
已结题

C语言编程问题求解答

img

  • 写回答

1条回答 默认 最新

  • 南七灵 2021-11-17 10:13
    关注
    
    #include <stdio.h>
    
    int conver_14_to_10(char *tar)
    { //把14进制转为10进制
        int res = 0;
        int cur_idx = 0;
        while (tar[cur_idx] != '\0')
        {
            if (tar[cur_idx] >= '0' && tar[cur_idx] <= '9')
            {
                res = 14 * res + tar[cur_idx] - '0';
            }
            else
            {
                res = 14 * res + tar[cur_idx] - 'A' + 10;
            }
            cur_idx++;
        }
        return res;
    }
    
    void print_7(int tar)
    { //将10进制转为7进制输出
        if (tar == 0)
            return;
        print_7(tar / 7);
        printf("%d", tar % 7);
    }
    
    int main()
    {
        int i,n,j = 0;
        scanf("%d", &n);
        char str_lib[1000][1000];
        for (i = 0; i < n; i++)
        {
            scanf("%s", &str_lib[i]);
            print_7(conver_14_to_10(str_lib[i]));
            printf(" ");
        }
        return 0;
    }
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 11月25日
  • 已采纳回答 11月17日
  • 创建了问题 11月17日

悬赏问题

  • ¥15 求帮生成一个lattice diamond的许可证
  • ¥15 大一前端新生求教学解答
  • ¥15 如何制作一个可以查看“网游有序列的装备词条”的软件/插件
  • ¥15 CS2打5E与完美天梯匹配会与服务器断开连接(黑框没标明具体原因)
  • ¥15 利用cst反推材料电磁参数,推出想x,y,z方向的相对介电常数与磁导率
  • ¥15 求帮助!用赛灵思FPGA XC7A35T对一个频率50MHz的数字信号读取高低电平,只用HR bank普通单端io进行采样可以吗
  • ¥15 训练准确率100%,测试准确率只有50%
  • ¥15 grafana创建dashhabord提示no data sources of type Prometheus Alert
  • ¥15 python用arima时间序列法预测不出结果 急
  • ¥15 思科交换机如何恢复配置