Mr_Penguin 2021-12-15 22:26 采纳率: 0%
浏览 297
已结题

systemverilog中的new函数

背景:uvm验证平台中类的实例化

问题:在uvm平台中定义一个class时候,其中会包含一个new函数。在实例化该class的时候,需要调用一个new()函数,请问这个new()函数是systemverilog中的的内建函数,还是class中定义的这个new()函数?

eg:
定义一个class

class Packet;
 int var_0;
 int var_1;

extern function new(string name = "Packet");
 
endclass

function Packet::new(string name = "Packet")
  spuer.new(name);
endfunction : new

实例化上面定义的class
Packet A;
A = new();//情况1:这里的这个new()函数是类中定义的new函数吗???
//情况2:还有一种情况,类Packet中没有定义那个new()函数,但是实例化的时候还是需要调用这个new()的。

问题
情况1、情况2分别是中分别调用的是哪个new()函数,希望分开说一下,并且有原理来源更好。

  • 写回答

0条回答 默认 最新

    报告相同问题?

    问题事件

    • 系统已结题 12月23日
    • 创建了问题 12月15日

    悬赏问题

    • ¥15 多址通信方式的抗噪声性能和系统容量对比
    • ¥15 winform的chart曲线生成时有凸起
    • ¥15 msix packaging tool打包问题
    • ¥15 finalshell节点的搭建代码和那个端口代码教程
    • ¥15 Centos / PETSc / PETGEM
    • ¥15 centos7.9 IPv6端口telnet和端口监控问题
    • ¥20 完全没有学习过GAN,看了CSDN的一篇文章,里面有代码但是完全不知道如何操作
    • ¥15 使用ue5插件narrative时如何切换关卡也保存叙事任务记录
    • ¥20 海浪数据 南海地区海况数据,波浪数据
    • ¥20 软件测试决策法疑问求解答