Morty_o 2022-05-23 16:08 采纳率: 0%
浏览 114
已结题

如何用按键控制循环?(关键词-数码管)

采用EGO1中的两组数码管,让该8个数码管循环显示:01234567,12345678,23456789....电路的输出信号en进行启动或暂停。用按键控制循环,按一下显示一个数。(在vivado 2018版本下)如何书写源程序 仿真程序 与引角分配

img

  • 写回答

2条回答 默认 最新

  • Louis@L.M. 2022-05-25 22:09
    关注

    设置好每一位的初初始值,然后每按一次同时加1,到10之后,归0

    评论

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 5月30日
  • 修改了问题 5月25日
  • 创建了问题 5月23日

悬赏问题

  • ¥15 matlab2017
  • ¥15 在vxWorks下TCP/IP编程,总是connect()报错,连接服务器失败: errno = 0x41
  • ¥15 AnolisOs7.9如何安装 Qt_5.14.2的运行库
  • ¥20 求:怎么实现qt与pcie通信
  • ¥50 前后端数据顺序不一致问题,如何解决?(相关搜索:数据结构)
  • ¥15 基于蒙特卡罗法的中介效应点估计代码
  • ¥15 罗技G293和UE5.3
  • ¥20 Tesla 特斯拉K80显卡 如果需要使用该设备,你需要禁用系统上的另一个设备。
  • ¥30 QT调用百度智能云千帆模型无法取得返回文本
  • ¥50 CCD工业视觉相机检测出现光边