StephenYoson 2022-06-18 11:58 采纳率: 0%
浏览 35
已结题

Verilog二进制数字长怎么扩大

我想把十四位的二进制变成64位的二进制,然后后面和最高位补零,请问需要怎么编代码

  • 写回答

1条回答 默认 最新

  • 逆游的懒喵喵 2022-06-18 12:43
    关注
    获得2.50元问题酬金

    如果没有硬件条件限制的话,可以重新设置64位的寄存器或者线网类型来赋值。
    例如;

    wire [13:0] temp1;
    wire [63:0] temp2;
    assign temp2={50'd0,temp1};
    assign temp1=14'd502;
    

    寄存器同理,在always中赋值或者使用其它方式赋值即可,上面代码中temp2线网变量可以充当64位二进制输入给其它模块。

    如果解决了您的问题,可以采纳下我的回答。
    采纳后如果您仍有相关问题,可以与我沟通。

    评论 编辑记录

报告相同问题?

问题事件

  • 系统已结题 6月26日
  • 创建了问题 6月18日

悬赏问题

  • ¥50 成都蓉城足球俱乐部小程序抢票
  • ¥15 yolov7训练自己的数据集
  • ¥15 esp8266与51单片机连接问题(标签-单片机|关键词-串口)(相关搜索:51单片机|单片机|测试代码)
  • ¥15 电力市场出清matlab yalmip kkt 双层优化问题
  • ¥30 ros小车路径规划实现不了,如何解决?(操作系统-ubuntu)
  • ¥20 matlab yalmip kkt 双层优化问题
  • ¥15 如何在3D高斯飞溅的渲染的场景中获得一个可控的旋转物体
  • ¥88 实在没有想法,需要个思路
  • ¥15 MATLAB报错输入参数太多
  • ¥15 python中合并修改日期相同的CSV文件并按照修改日期的名字命名文件