白沐沐vccc 2022-09-23 19:57 采纳率: 96.2%
浏览 13
已结题

vivado单位原码乘法

在进行vivado单位乘法实验时,四位二进制数互乘,需要进行四次乘法,由于对这种新语言不够了解,想让他进行四次乘法后自动结束,请问怎么弄,谢谢

img

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-09-28 16:27
    关注
    
    `timescale 1ns/1ns
    
    module testbench;
    
    reg            rst;
    reg            clk;
    reg    [3:0]    a;
    reg    [3:0]    b;
    wire[7:0]    c;
    
    parameter RESET_PERIOD        = 500;
    parameter CLK_PERIOD        = 100;                    //10MHz
    
    initial    clk = 0;
    always    clk = #(CLK_PERIOD/2.0) ~clk;
    
    initial    begin
        rst = 1;
        #RESET_PERIOD
        rst = 0;
        #(CLK_PERIOD*4)    $stop;    // 执行 4 个时钟之后停止
    end
    //////////////////////////////////////////////////////////////////////
    // 这部分代码是自动产生24位数 a,b 每个时钟变化一次
    //    always@(posedge clk)
    //    begin
    //        if(rst)
    //        begin
    //            a    <= #1 0;
    //            b    <= #1 0;
    //        end
    //        else
    //        begin
    //            {b,a}    <= #1 {b,a} + 1;
    //        end
    //    end
    //////////////////////////////////////////////////////////////////////
    // 要是不用上自动产生24位数,可以按下面的代码
    initial    begin
        a = 0;b = 0;
        #RESET_PERIOD
        a = 1;b = 2;
        #CLK_PERIOD
        a = 3;b = 4;
        #CLK_PERIOD
        a = 5;b = 6;
    end
    //////////////////////////////////////////////////////////////////////    
        
        mult    ux
        (
            .clk    (clk),
            .rst    (rst),
            .a        (a),
            .b        (b),
            .c        (c)
        );
    
    endmodule
    
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 10月8日
  • 已采纳回答 9月30日
  • 创建了问题 9月23日

悬赏问题

  • ¥15 metadata提取的PDF元数据,如何转换为一个Excel
  • ¥15 关于arduino编程toCharArray()函数的使用
  • ¥100 vc++混合CEF采用CLR方式编译报错
  • ¥15 coze 的插件输入飞书多维表格 app_token 后一直显示错误,如何解决?
  • ¥15 vite+vue3+plyr播放本地public文件夹下视频无法加载
  • ¥15 c#逐行读取txt文本,但是每一行里面数据之间空格数量不同
  • ¥50 如何openEuler 22.03上安装配置drbd
  • ¥20 ING91680C BLE5.3 芯片怎么实现串口收发数据
  • ¥15 无线连接树莓派,无法执行update,如何解决?(相关搜索:软件下载)
  • ¥15 Windows11, backspace, enter, space键失灵