伶玗 2022-11-13 16:56 采纳率: 0%
浏览 9

简化下面的程序,我设计的太繁琐了

简化我设计的程序,这个程序太繁琐,输入需要花很多时间,求哪位帮我简化一下,谢谢
题目"输入10个数,求最大的数"

img

  • 写回答

1条回答 默认 最新

  • 语言-逆行者 2022-11-13 17:32
    关注
    
    #define _CRT_SECURE_NO_WARNINGS
    #include<stdio.h>
    #include<stdlib.h>
    
    int main()
    {
        int i,j,MAX=0;
        int arry[10];
        printf("Please input the arry[]:");
        for (i = 0; i < 10; i++)
        {
           scanf("%d",&arry[i]);
        }
        MAX = arry[0];
        for (j = 1; j < 10; j++)
        {
            if (arry[j]>MAX)
            {
                MAX = arry[j];
            }
        }
        printf("%d\n",MAX);
        system("pause");
        return 0;
    
    }
    
    
    评论 编辑记录

报告相同问题?

问题事件

  • 创建了问题 11月13日

悬赏问题

  • ¥30 河流的geojson数据为什么放到mapshaper网站中全部是长方形
  • ¥15 谁能介绍一个可以搜索大部分单词的,每个单词有词根词缀记忆方法的电子书和配套软件吗?给你报酬,你可以给电子书和配套软件给我吗?可以的话加我微信:15218392686
  • ¥20 ANSYS fluent烟雾扩散仿真
  • ¥15 新建vitis工程时,显示创建失败,需要查看vitis log
  • ¥15 java 在同一包下无法跨文件引入自己写的类,也无法导包过去
  • ¥15 求帮生成一个lattice diamond的许可证
  • ¥15 大一前端新生求教学解答
  • ¥15 如何制作一个可以查看“网游有序列的装备词条”的软件/插件
  • ¥15 CS2打5E与完美天梯匹配会与服务器断开连接(黑框没标明具体原因)
  • ¥15 求帮助!用赛灵思FPGA XC7A35T对一个频率50MHz的数字信号读取高低电平,只用HR bank普通单端io进行采样可以吗