试一把 2023-06-04 14:43
浏览 43
已结题

vs设计指导 8个数码管循环显示:01234567,12345678....电路的输出信号en进行启动或暂停。用按键控制循环,按一下显示一个数。(在vivado )如何书写源程序 仿真程序

采用EGO1中的两组数码管,让该8个数码管循环显示:01234567,12345678,23456789....电路的输出信号en进行启动或暂停。用按键控制循环,按一下显示一个数。(在vivado 2018版本下)改如何解决

  • 写回答

0条回答 默认 最新

    报告相同问题?

    问题事件

    • 系统已结题 6月12日
    • 修改了问题 6月4日
    • 创建了问题 6月4日

    悬赏问题

    • ¥15 eclipse运行项目时遇到的问题
    • ¥15 关于#c##的问题:最近需要用CAT工具Trados进行一些开发
    • ¥15 南大pa1 小游戏没有界面,并且报了如下错误,尝试过换显卡驱动,但是好像不行
    • ¥15 没有证书,nginx怎么反向代理到只能接受https的公网网站
    • ¥50 成都蓉城足球俱乐部小程序抢票
    • ¥15 yolov7训练自己的数据集
    • ¥15 esp8266与51单片机连接问题(标签-单片机|关键词-串口)(相关搜索:51单片机|单片机|测试代码)
    • ¥15 电力市场出清matlab yalmip kkt 双层优化问题
    • ¥30 ros小车路径规划实现不了,如何解决?(操作系统-ubuntu)
    • ¥20 matlab yalmip kkt 双层优化问题