2301_80415251 2024-09-26 10:39 采纳率: 40%
浏览 5
已采纳

vivado的RTL分析 verilog

img


我该怎样在vivado上实现这个问题呢?针对RTL如何进行分析呢?

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2024-09-26 10:58
    关注

    1:新建一个 add.v 的文件,并将上面的代码录入到这个文件里。(可以用记事本,也可以用vivado 的文本编辑器来录入代码)
    2:在 vivado 中建立一个工程(可以任意选一个型号的芯片)。将 add.v 这个文件加入这个工程、
    3:在 vivado 中运行综合,在这个过程中可能会出错,你需要仔细的校对你录入的文件,找到错误并修改。(综合的目的是帮你快速的定位错误,这步是可以省略掉的)
    4:综合后,就能打开 RTL 原理图了
    5:通过查看 RTL 的电路,可以看到 adder4 模块的电路,可以看到 fulladd 模块例化后的接线关系,可以看到 fulladd 的电路组成 。
    6:将这些看到的东西贴图,用文字阐述一下就行了

    img

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 已采纳回答 9月27日
  • 创建了问题 9月26日

悬赏问题

  • ¥15 如何在vue.config.js中读取到public文件夹下window.APP_CONFIG.API_BASE_URL的值
  • ¥50 浦育平台scratch图形化编程
  • ¥20 求这个的原理图 只要原理图
  • ¥15 vue2项目中,如何配置环境,可以在打完包之后修改请求的服务器地址
  • ¥20 微信的店铺小程序如何修改背景图
  • ¥15 UE5.1局部变量对蓝图不可见
  • ¥15 一共有五道问题关于整数幂的运算还有房间号码 还有网络密码的解答?(语言-python)
  • ¥20 sentry如何捕获上传Android ndk 崩溃
  • ¥15 在做logistic回归模型限制性立方条图时候,不能出完整图的困难
  • ¥15 G0系列单片机HAL库中景园gc9307液晶驱动芯片无法使用硬件SPI+DMA驱动,如何解决?