白桦树的妖精 2019-11-19 22:07 采纳率: 0%
浏览 1021

有关verilog中例化参数传递的问题,是否不能在例化中传递二维数组参数?

我在segment模块里定义了一个二维数组用来存放两个数码管的信息,然后例化调用的时候这样写:

segment u1(.clk_in(clk_in),.rst_n(rst_n),.seg_data[0](sw),.seg_data[1](mode_hz),.seg_led(seg_led));

想着分别用sw和mode_hz 做参数传递给模块里的 input[3:0] seg_data[1:0]
然后综合的时候报错如下:

Error (10170): Verilog HDL syntax error at exam3.v(33) near text: "[";  expecting ")". 

看来是格式出了问题,那么正确的在例化中传递二维数组参数的格式该怎么写?我是找遍了网上没答案才来提问的……

  • 写回答

3条回答 默认 最新

  • xianyu712 2023-11-02 20:05
    关注

    请问题主有找到解决方案吗,我也遇到了这问题没找到解决方法

    评论

报告相同问题?

悬赏问题

  • ¥15 #MATLAB仿真#车辆换道路径规划
  • ¥15 java 操作 elasticsearch 8.1 实现 索引的重建
  • ¥15 数据可视化Python
  • ¥15 要给毕业设计添加扫码登录的功能!!有偿
  • ¥15 kafka 分区副本增加会导致消息丢失或者不可用吗?
  • ¥15 微信公众号自制会员卡没有收款渠道啊
  • ¥100 Jenkins自动化部署—悬赏100元
  • ¥15 关于#python#的问题:求帮写python代码
  • ¥20 MATLAB画图图形出现上下震荡的线条
  • ¥15 关于#windows#的问题:怎么用WIN 11系统的电脑 克隆WIN NT3.51-4.0系统的硬盘