dqndc26628 2018-04-03 21:49
浏览 97
已采纳

格式化交易者小数

This code

$real = array (1, 2, 3, 4, 9 ) ;
$timePeriod = 5;
$data = trader_sma($real,$timePeriod);
$ta_sma = $data[4];
echo $ta_sma;

Gives nice result of "3.8"

But this one

$real = array (0.00035606, 0.00035571, 0.00035607, 0.00035606, 5.00035607);
$timePeriod = 5;
$data = trader_sma($real,$timePeriod);
$ta_sma = $data[4];
echo $ta_sma;

is giving "1" (no decimal numbers)

I tried all possible number_format combinations and could not get normal result in 2nd code

How to format this to get real number which is 1,000355994?

  • 写回答

1条回答 默认 最新

  • dqwh1119 2018-04-03 23:14
    关注

    Looking here - php trader configuration we can figure out two options:

    1. Set an trader.real_precision option in php.ini to 9, which means the precision of rounding (amount of numbers after the dot)

    2. Set it directly in the script:

      ini_set('trader.real_precision', '9');

    And we have in output:

    array(1) {
          [4]=>
          float(1.000355994)
      }
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

悬赏问题

  • ¥15 求螺旋焊缝的图像处理
  • ¥15 blast算法(相关搜索:数据库)
  • ¥15 请问有人会紧聚焦相关的matlab知识嘛?
  • ¥15 网络通信安全解决方案
  • ¥50 yalmip+Gurobi
  • ¥20 win10修改放大文本以及缩放与布局后蓝屏无法正常进入桌面
  • ¥15 itunes恢复数据最后一步发生错误
  • ¥15 关于#windows#的问题:2024年5月15日的win11更新后资源管理器没有地址栏了顶部的地址栏和文件搜索都消失了
  • ¥100 H5网页如何调用微信扫一扫功能?
  • ¥15 讲解电路图,付费求解