满街游荡 2016-05-10 02:42 采纳率: 0%
浏览 2851

mysql错误代码 Err] 1215

运行生气了语句:
CREATE TABLE net_ippoolexludesection (
sectionId int(11) NOT NULL AUTO_INCREMENT,
ippoolId int(11) NOT NULL COMMENT '地址池ID',
routeId int(11) NOT NULL COMMENT '设备ID',
startip varchar(15) NOT NULL COMMENT '起始地址',
endip varchar(15) NOT NULL COMMENT '结束地址',
lstartip bigint(255) NOT NULL COMMENT '其实IP',
lendip bigint(255) NOT NULL COMMENT '结束IP',
PRIMARY KEY (sectionId),
CONSTRAINT net_ippoolexludesection_ibfk_1 FOREIGN KEY (ippoolId) REFERENCES net_ippoolinfo (poolid) ON DELETE CASCADE
) ENGINE=InnoDB DEFAULT CHARSET=utf8 COMMENT='ip地址池排除地址段';

报错 Err] 1215 - Cannot add foreign key constraint

net_ippoolinfo 表的结构如下
CREATE TABLE net_ippoolinfo (
poolid int(7) NOT NULL AUTO_INCREMENT,
poolName varchar(50) NOT NULL,
routid int(11) NOT NULL,
gateway varchar(15) DEFAULT NULL,
maskStr varchar(15) DEFAULT NULL,
dnsip varchar(50) DEFAULT NULL,
poolSection varchar(200) DEFAULT NULL,
vpnName varchar(50) DEFAULT NULL,
maxUseNum int(7) DEFAULT NULL,
produceTime datetime DEFAULT NULL,
poolDomain varchar(255) DEFAULT NULL,
poolDomain1 varchar(255) DEFAULT NULL,
PRIMARY KEY (poolid),
UNIQUE KEY dd (poolid)
) ENGINE=InnoDB AUTO_INCREMENT=220 DEFAULT CHARSET=utf8;
mysql 版本 5.6
就大神指教,

  • 写回答

1条回答

  • 小灸舞 2016-05-10 02:57
    关注

    可能的原因:
    1.没有使用 InnoDB as the engine on all tables.
    2.你想在目标表上引用一个不存在的键。确保它是另一个表中的一个键 (it can be a primary or unique key)
    3.列的类型是不一样的 (exception is the column on the referencing table can be nullable).
    4.ON DELETE SET NULL is not defined to be null。所以确保列设置默认为空。

    评论

报告相同问题?

悬赏问题

  • ¥15 HFSS 中的 H 场图与 MATLAB 中绘制的 B1 场 部分对应不上
  • ¥15 如何在scanpy上做差异基因和通路富集?
  • ¥20 关于#硬件工程#的问题,请各位专家解答!
  • ¥15 关于#matlab#的问题:期望的系统闭环传递函数为G(s)=wn^2/s^2+2¢wn+wn^2阻尼系数¢=0.707,使系统具有较小的超调量
  • ¥15 FLUENT如何实现在堆积颗粒的上表面加载高斯热源
  • ¥30 截图中的mathematics程序转换成matlab
  • ¥15 动力学代码报错,维度不匹配
  • ¥15 Power query添加列问题
  • ¥50 Kubernetes&Fission&Eleasticsearch
  • ¥15 報錯:Person is not mapped,如何解決?