派件员 2021-06-10 12:01 采纳率: 100%
浏览 67
已结题

有偿求惑——10分频计数器

用Verilog HDL语言设计一个带异步清零功能的10分频计数器,输出波形的占空比50%。

改进是:占空比40%(非50%)的模10计数分频。

劳烦注释一下十分频原理 + 如何调整输出波形的占空比?

报酬¥10~¥15,微信支付。绝不欺骗人!!!

到时私聊,好像不可以发微信二维码。帮帮忙吧!想学习

  • 写回答

1条回答 默认 最新

  • 派件员 2021-11-28 14:57
    关注

    现在啊,哈哈哈哈哈真是好笑,兜兜转转又来到了这里,可以说是现在在认真学,那就从此开始,救赎自己吧。写类似博客一样的,自娱自乐,督促自己吧。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 12月6日
  • 已采纳回答 11月28日

悬赏问题

  • ¥20 机器学习能否像多层线性模型一样处理嵌套数据
  • ¥20 西门子S7-Graph,S7-300,梯形图
  • ¥50 用易语言http 访问不了网页
  • ¥50 safari浏览器fetch提交数据后数据丢失问题
  • ¥15 matlab不知道怎么改,求解答!!
  • ¥15 永磁直线电机的电流环pi调不出来
  • ¥15 用stata实现聚类的代码
  • ¥15 请问paddlehub能支持移动端开发吗?在Android studio上该如何部署?
  • ¥20 docker里部署springboot项目,访问不到扬声器
  • ¥15 netty整合springboot之后自动重连失效