syfei0518 2022-04-26 16:30 采纳率: 61.1%
浏览 3286
已结题

使用val.py测试yolov5s.pt报错:IndexError: index 57 is out of bounds for axis 0 with size 21

问题遇到的现象和发生背景

下载官方代码对yolov5s.pt进行测试,出现如下报错:IndexError: index 57 is out of bounds for axis 0 with size 21

运行结果及报错内容
Traceback (most recent call last):

  File "D:\1-syf\yolov5\val.py", line 359, in <module>
    main(opt)

  File "D:\1-syf\yolov5\val.py", line 333, in main
    run(**vars(opt))

  File "C:\ProgramData\Anaconda3\lib\site-packages\torch\autograd\grad_mode.py", line 27, in decorate_context
    return func(*args, **kwargs)

  File "D:\1-syf\yolov5\val.py", line 213, in run
    confusion_matrix.process_batch(predn, labelsn)

  File "D:\1-syf\yolov5\utils\metrics.py", line 156, in process_batch
    self.matrix[detection_classes[m1[j]], gc] += 1  # correct

IndexError: index 57 is out of bounds for axis 0 with size 21

我的解答思路和尝试过的方法

该错误是由于索引超出了列表的长度引起的,尝试输出predn和labelsn,但是发现也不会改。

  • 写回答

3条回答 默认 最新

  • 爱晚乏客游 2022-04-27 10:47
    关注

    隔壁有个和你一样的问题,两个号?
    你用的是coco训练出来的模型,共80类,但是你验证的时候是voc20类,那么我coco预测出来类别id为57,你coco就越界了。
    coco[57]是chair,对应voc[8]=chair。不信的话看下你的图片里是不是有椅子。
    如果你要验证voc,你需要训练voc的模型而不是用coco训练出来的预训练模型

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论
查看更多回答(2条)

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 8月6日
  • 已采纳回答 8月6日
  • 创建了问题 4月26日

悬赏问题

  • ¥15 2020长安杯与连接网探
  • ¥15 关于#matlab#的问题:在模糊控制器中选出线路信息,在simulink中根据线路信息生成速度时间目标曲线(初速度为20m/s,15秒后减为0的速度时间图像)我想问线路信息是什么
  • ¥15 banner广告展示设置多少时间不怎么会消耗用户价值
  • ¥16 mybatis的代理对象无法通过@Autowired装填
  • ¥15 可见光定位matlab仿真
  • ¥15 arduino 四自由度机械臂
  • ¥15 wordpress 产品图片 GIF 没法显示
  • ¥15 求三国群英传pl国战时间的修改方法
  • ¥15 matlab代码代写,需写出详细代码,代价私
  • ¥15 ROS系统搭建请教(跨境电商用途)