m0_68817080 2022-06-23 10:05 采纳率: 100%
浏览 37
已结题

基于FPGA的投票器

写代码:
1、5人参与投票,分别用1个拨键开关控制投票意见;
2、数码管显示5人的投票意见:“1”为赞成,“0”为反对;还要显示最后的投票结果:“1”为通过,“0”为不通过

  • 写回答

1条回答 默认 最新

  • 笑看风云路 大数据领域优质创作者 2022-06-23 11:56
    关注

    5人参与投票,3人赞成,表示通过:
    module most(vote,pass);
    input [6:0] vote;
    output pass;
    reg [2:0] sum;
    integer i;
    reg pass;
    always @(vote)
    begin
    sum = 0;
    for(i=0;i<5;i=i+1)
    if(vote[i])
    sum = sum+1;
    if(sum[0] && sum[1])
    pass = 1;
    else
    pass = 0;
    end
    endmodule

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 已结题 (查看结题原因) 6月26日
  • 已采纳回答 6月26日
  • 创建了问题 6月23日

悬赏问题

  • ¥100 任意维数的K均值聚类
  • ¥15 stamps做sbas-insar,时序沉降图怎么画
  • ¥15 unity第一人称射击小游戏,有demo,在原脚本的基础上进行修改以达到要求
  • ¥15 买了个传感器,根据商家发的代码和步骤使用但是代码报错了不会改,有没有人可以看看
  • ¥15 关于#Java#的问题,如何解决?
  • ¥15 加热介质是液体,换热器壳侧导热系数和总的导热系数怎么算
  • ¥100 嵌入式系统基于PIC16F882和热敏电阻的数字温度计
  • ¥15 cmd cl 0x000007b
  • ¥20 BAPI_PR_CHANGE how to add account assignment information for service line
  • ¥500 火焰左右视图、视差(基于双目相机)