特级茶叶 2022-10-07 23:02 采纳率: 78.6%
浏览 56
已结题

用verilog HDL语法编写写出程序

将2选1多路选择器看成是一个元件MUX21A,利用元件例化语句描述如下图双2选1多路选择器,并将此文件放在同一目录中。以下是部分参考程序,完整程序请补充完整。

img

img

  • 写回答

1条回答 默认 最新

  • 老皮芽子 2022-10-08 09:19
    关注
    
    module MUXK
    (
       input        a1,
       input        a2,
       input        a3,
       input        s0,
       input        s1,
       output        outy
    );
       wire    tmp;
       
       MUX21A    U1(.a(a2),.b(a3),.s(s0),.y(tmp));
       MUX21A    U2(.a(a1),.b(tmp),.s(s1),.y(outy));
    
    endmodule
    
    
    
    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 10月16日
  • 已采纳回答 10月8日
  • 创建了问题 10月7日

悬赏问题

  • ¥15 使用yolov5-7.0目标检测报错
  • ¥15 对于这个问题的解释说明
  • ¥200 询问:python实现大地主题正反算的程序设计,有偿
  • ¥15 smptlib使用465端口发送邮件失败
  • ¥200 总是报错,能帮助用python实现程序实现高斯正反算吗?有偿
  • ¥15 对于squad数据集的基于bert模型的微调
  • ¥15 为什么我运行这个网络会出现以下报错?CRNN神经网络
  • ¥20 steam下载游戏占用内存
  • ¥15 CST保存项目时失败
  • ¥20 java在应用程序里获取不到扬声器设备