qq_52736219 2022-10-16 18:32 采纳率: 100%
浏览 1374
已结题

vivado2018在implementatian报错为黑匣子问题

vivado2018在implementation时遇见以下问题:[DRC INBB-3] Black Box Instances: Cell 'filter_progress' of type 'filter_333' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.
从百度上找了半天也没有找到有效的回答,恳请大家帮帮忙

  • 写回答

1条回答 默认 最新

  • Fantasy237 2022-10-16 19:59
    关注

    filter_333这个模块vivado没找到,所以不知道具体怎么做Place&Route。
    之前我遇到过这种情况是只定义了端口,没有具体实现,所以就被当做black box。把这个模块的网表加上就成功了。
    要看你这个模块是怎么实现的,源码、网表等,需要提供。看下文件是不是放错位置了。

    本回答被题主选为最佳回答 , 对您是否有帮助呢?
    评论

报告相同问题?

问题事件

  • 系统已结题 10月24日
  • 已采纳回答 10月16日
  • 创建了问题 10月16日

悬赏问题

  • ¥20 mysql架构,按照姓名分表
  • ¥15 MATLAB实现区间[a,b]上的Gauss-Legendre积分
  • ¥15 Macbookpro 连接热点正常上网,连接不了Wi-Fi。
  • ¥15 delphi webbrowser组件网页下拉菜单自动选择问题
  • ¥15 linux驱动,linux应用,多线程
  • ¥20 我要一个分身加定位两个功能的安卓app
  • ¥15 基于FOC驱动器,如何实现卡丁车下坡无阻力的遛坡的效果
  • ¥15 IAR程序莫名变量多重定义
  • ¥15 (标签-UDP|关键词-client)
  • ¥15 关于库卡officelite无法与虚拟机通讯的问题